Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

$size evaluates to the wrong value #1094

Open
Rodrigodd opened this issue Feb 8, 2025 · 4 comments
Open

$size evaluates to the wrong value #1094

Rodrigodd opened this issue Feb 8, 2025 · 4 comments

Comments

@Rodrigodd
Copy link
Contributor

Description

I was trying to get a existing design loaded into Synlig, but I notice that $size of a unpacked array was returning the size in bits of the full memory, instead of just the number of elements.

I am not sure if I should have filled this issue on Synlig, Surelog or here, but, from what I can tell, the code responsible for evaluating $size is mostly here.

Reproduction

If I have the file testsize.sv below:

module top();
	logic [3:0] a[1:0];
	logic b[$size(a)-1:0];
endmodule

And in the Surelog repository I run:

dbuild/bin/surelog -sv testsize.sv -top top -parse -nobuiltin -v4 -d uhdm

I can see from the output that the vpiSize of b is 8, where it should be 2.

\_module_inst: work@top (work@top), file:/home/rodrigodd/repos/Surelog/testsize.sv, line:1:1, endln:4:10
  |vpiName:work@top
  |vpiVariables:
  \_array_var: ([email protected]), line:2:14, endln:2:15
    |vpiSize:2
  \_array_var: ([email protected]), line:3:8, endln:3:9
    |vpiSize:8
Full Output
[INF:CM0023] Creating log file "/home/rodrigodd/repos/Surelog/slpp_all/surelog.log".
[WRN:CM0010] Command line argument "-v4" ignored.
[WRN:PA0205] /home/rodrigodd/repos/Surelog/testsize.sv:1:1: No timescale set for "top".
[INF:CP0300] Compilation...
[INF:CP0303] /home/rodrigodd/repos/Surelog/testsize.sv:1:1: Compile module "work@top".
[INF:EL0526] Design Elaboration...
[NTE:EL0503] /home/rodrigodd/repos/Surelog/testsize.sv:1:1: Top level module "work@top".
[NTE:EL0508] Nb Top level modules: 1.
[NTE:EL0509] Max instance depth: 1.
[NTE:EL0510] Nb instances: 1.
[NTE:EL0511] Nb leaf instances: 1.
[INF:UH0706] Creating UHDM Model...
[INF:UH0708] Writing UHDM DB: /home/rodrigodd/repos/Surelog/slpp_all/surelog.uhdm ...
[INF:UH0709] Writing UHDM Html Coverage: /home/rodrigodd/repos/Surelog/slpp_all/checker/surelog.chk.html ...
[INF:UH0710] Loading UHDM DB: /home/rodrigodd/repos/Surelog/slpp_all/surelog.uhdm ...
[INF:UH0711] Decompiling UHDM...
====== UHDM =======
design: (work@top)
|vpiName:work@top
|uhdmallModules:
\_module_inst: work@top (work@top), file:/home/rodrigodd/repos/Surelog/testsize.sv, line:1:1, endln:4:10
  |vpiParent:
  \_design: (work@top)
  |vpiFullName:work@top
  |vpiDefName:work@top
  |vpiNet:
  \_logic_net: ([email protected]), line:2:14, endln:2:15
    |vpiParent:
    \_module_inst: work@top (work@top), file:/home/rodrigodd/repos/Surelog/testsize.sv, line:1:1, endln:4:10
    |vpiTypespec:
    \_ref_typespec: ([email protected])
      |vpiParent:
      \_logic_net: ([email protected]), line:2:14, endln:2:15
      |vpiFullName:[email protected]
      |vpiActual:
      \_logic_typespec: , line:2:2, endln:2:20
    |vpiName:a
    |vpiFullName:[email protected]
    |vpiNetType:36
  |vpiNet:
  \_logic_net: ([email protected]), line:3:8, endln:3:9
    |vpiParent:
    \_module_inst: work@top (work@top), file:/home/rodrigodd/repos/Surelog/testsize.sv, line:1:1, endln:4:10
    |vpiTypespec:
    \_ref_typespec: ([email protected])
      |vpiParent:
      \_logic_net: ([email protected]), line:3:8, endln:3:9
      |vpiFullName:[email protected]
      |vpiActual:
      \_logic_typespec: , line:3:2, endln:3:23
    |vpiName:b
    |vpiFullName:[email protected]
    |vpiNetType:36
|uhdmtopModules:
\_module_inst: work@top (work@top), file:/home/rodrigodd/repos/Surelog/testsize.sv, line:1:1, endln:4:10
  |vpiName:work@top
  |vpiVariables:
  \_array_var: ([email protected]), line:2:14, endln:2:15
    |vpiParent:
    \_module_inst: work@top (work@top), file:/home/rodrigodd/repos/Surelog/testsize.sv, line:1:1, endln:4:10
    |vpiSize:2
    |vpiTypespec:
    \_ref_typespec: ([email protected])
      |vpiParent:
      \_array_var: ([email protected]), line:2:14, endln:2:15
      |vpiFullName:[email protected]
      |vpiActual:
      \_array_typespec: 
    |vpiName:a
    |vpiFullName:[email protected]
    |vpiRandType:1
    |vpiVisibility:1
    |vpiArrayType:1
    |vpiRange:
    \_range: , line:2:15, endln:2:20
      |vpiParent:
      \_array_var: ([email protected]), line:2:14, endln:2:15
      |vpiLeftRange:
      \_constant: , line:2:16, endln:2:17
        |vpiParent:
        \_range: , line:2:15, endln:2:20
        |vpiDecompile:1
        |vpiSize:64
        |UINT:1
        |vpiConstType:9
      |vpiRightRange:
      \_constant: , line:2:18, endln:2:19
        |vpiParent:
        \_range: , line:2:15, endln:2:20
        |vpiDecompile:0
        |vpiSize:64
        |UINT:0
        |vpiConstType:9
    |vpiReg:
    \_logic_var: ([email protected]), line:2:14, endln:2:15
      |vpiParent:
      \_array_var: ([email protected]), line:2:14, endln:2:15
      |vpiTypespec:
      \_ref_typespec: ([email protected])
        |vpiParent:
        \_logic_var: ([email protected]), line:2:14, endln:2:15
        |vpiFullName:[email protected]
        |vpiActual:
        \_logic_typespec: , line:2:2, endln:2:13
      |vpiFullName:[email protected]
  |vpiVariables:
  \_array_var: ([email protected]), line:3:8, endln:3:9
    |vpiParent:
    \_module_inst: work@top (work@top), file:/home/rodrigodd/repos/Surelog/testsize.sv, line:1:1, endln:4:10
    |vpiSize:8
    |vpiTypespec:
    \_ref_typespec: ([email protected])
      |vpiParent:
      \_array_var: ([email protected]), line:3:8, endln:3:9
      |vpiFullName:[email protected]
      |vpiActual:
      \_array_typespec: 
    |vpiName:b
    |vpiFullName:[email protected]
    |vpiRandType:1
    |vpiVisibility:1
    |vpiArrayType:1
    |vpiRange:
    \_range: , line:3:9, endln:3:23
      |vpiParent:
      \_array_var: ([email protected]), line:3:8, endln:3:9
      |vpiLeftRange:
      \_constant: , line:3:10, endln:3:18
        |vpiParent:
        \_range: , line:3:9, endln:3:23
        |vpiDecompile:7
        |vpiSize:64
        |INT:7
        |vpiConstType:7
      |vpiRightRange:
      \_constant: , line:3:21, endln:3:22
        |vpiParent:
        \_range: , line:3:9, endln:3:23
        |vpiDecompile:0
        |vpiSize:64
        |UINT:0
        |vpiConstType:9
    |vpiReg:
    \_logic_var: ([email protected]), line:3:8, endln:3:9
      |vpiParent:
      \_array_var: ([email protected]), line:3:8, endln:3:9
      |vpiTypespec:
      \_ref_typespec: ([email protected])
        |vpiParent:
        \_logic_var: ([email protected]), line:3:8, endln:3:9
        |vpiFullName:[email protected]
        |vpiActual:
        \_logic_typespec: , line:3:2, endln:3:7
      |vpiFullName:[email protected]
  |vpiDefName:work@top
  |vpiTop:1
  |vpiTopModule:1
\_weaklyReferenced:
\_logic_typespec: , line:2:2, endln:2:13
  |vpiParent:
  \_logic_var: ([email protected]), line:2:14, endln:2:15
  |vpiRange:
  \_range: , line:2:8, endln:2:13
    |vpiParent:
    \_logic_typespec: , line:2:2, endln:2:13
    |vpiLeftRange:
    \_constant: , line:2:9, endln:2:10
      |vpiParent:
      \_range: , line:2:8, endln:2:13
      |vpiDecompile:3
      |vpiSize:64
      |UINT:3
      |vpiConstType:9
    |vpiRightRange:
    \_constant: , line:2:11, endln:2:12
      |vpiParent:
      \_range: , line:2:8, endln:2:13
      |vpiDecompile:0
      |vpiSize:64
      |UINT:0
      |vpiConstType:9
\_array_typespec: 
\_logic_typespec: , line:3:2, endln:3:7
  |vpiParent:
  \_logic_var: ([email protected]), line:3:8, endln:3:9
\_array_typespec: 
\_logic_typespec: , line:2:2, endln:2:20
  |vpiRange:
  \_range: , line:2:8, endln:2:13
    |vpiParent:
    \_logic_typespec: , line:2:2, endln:2:20
    |vpiLeftRange:
    \_constant: , line:2:9, endln:2:10
      |vpiParent:
      \_range: , line:2:8, endln:2:13
      |vpiDecompile:3
      |vpiSize:64
      |UINT:3
      |vpiConstType:9
    |vpiRightRange:
    \_constant: , line:2:11, endln:2:12
      |vpiParent:
      \_range: , line:2:8, endln:2:13
      |vpiDecompile:0
      |vpiSize:64
      |UINT:0
      |vpiConstType:9
\_logic_typespec: , line:3:2, endln:3:23
===================
[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 2
[   NOTE] : 5

Fix?

The patch below makes $size return the expected value in the case above, but I not sure if it will break others results, or if the function will still be wrong for other types.

diff --git a/templates/ExprEval.cpp b/templates/ExprEval.cpp
index 51ef9b3..171dc0e 100644
--- a/templates/ExprEval.cpp
+++ b/templates/ExprEval.cpp
@@ -1272,7 +1272,9 @@ uint64_t ExprEval::size(const any *ts, bool &invalidValue, const any *inst,
     case UHDM_OBJECT_TYPE::uhdmarray_typespec: {
       array_typespec *lts = (array_typespec *)ts;
       ranges = lts->Ranges();
-      if (const ref_typespec *rt = lts->Elem_typespec()) {
+      if (!full) {
+        bits = 1;
+      } else if (const ref_typespec *rt = lts->Elem_typespec()) {
         bits = size(rt->Actual_typespec(), invalidValue, inst, pexpr, full);
       }
       break;
@alaindargelas
Copy link
Collaborator

alaindargelas commented Feb 26, 2025

@Rodrigodd, please checkout Surelog, apply your patch in the UHDM submodule,
then,
cd Surelog> python3 tests/regression.py

Check the diffs, you can run:
python3 tests/regression.py update
git diff

Let me know the results.

@Rodrigodd
Copy link
Contributor Author

I tried running python3 scripts/regression.py run followed by python3 scripts/regression.py update, but that resulted in all .log files in tests/ to became empty (I guess I had to compile the project before running it).

I run make regression, which produce no diff (log output: make-regression.txt).

I then run make test (no diff again):

Results
Results:
  +--------------------------------------+--------+-------+--------+-------+---------+--------+-------+----------+---------+---------+-----------+
  | TESTNAME                             | STATUS | FATAL | SYNTAX | ERROR | WARNING |   NOTE |  LINT | CPU-TIME | VTL-MEM | PHY-MEM | ROUNDTRIP |
  +--------------------------------------+--------+-------+--------+-------+---------+--------+-------+----------+---------+---------+-----------+
  | 1364_2005                            | PASS   |     0 |      0 |     0 |       1 |    364 |     0 |     0.00 |       0 |       0 |      5/11 |
  | 3SigsSensList                        | PASS   |     0 |      0 |     2 |       0 |    500 |     2 |     0.01 |      36 |      22 |     20/36 |
  | AaFirstTest                          | PASS   |     0 |      0 |     0 |       4 |      5 |     0 |     0.00 |      31 |      14 |       2/7 |
  | AllBinding                           | PASS   |     0 |      0 |     0 |       1 |    170 |     0 |     0.00 |      31 |      14 |      2/10 |
  | AllPackageSignal                     | PASS   |     0 |      0 |     0 |       2 |   1988 |     0 |     0.00 |      31 |      15 |       0/0 |
  | AlwaysNoElab                         | PASS   |     0 |      0 |     0 |       1 |   1097 |     0 |     0.00 |      32 |      16 |      4/12 |
  | AmiqEth                              | PASS   |     0 |      0 |     3 |      27 |      7 | 28854 |    11.78 |     842 |     799 |       0/0 |
  | AmiqSimpleTestSuite                  | PASS   |     0 |      0 |     2 |      10 |     10 | 18893 |     7.82 |     438 |     427 |       0/0 |
  | ApbSlave                             | PASS   |     0 |      0 |     0 |       0 |      7 |    82 |     0.50 |      57 |      42 |       0/0 |
  | Ariane                               | PASS   |     0 |      0 |     0 |      19 |     55 |     0 |    13.83 |    1159 |    1122 |       0/0 |
  | ArianeElab                           | PASS   |     0 |      0 |     0 |       8 | 164841 |     8 |     2.52 |     110 |      89 |       0/0 |
  | ArianeElab2                          | PASS   |     0 |      0 |     0 |       9 | 177224 |     8 |     2.76 |     209 |     200 |       0/0 |
  | ArrayExprFuncArg                     | PASS   |     0 |      0 |     0 |       2 |   1673 |     0 |     0.00 |      30 |      11 |       0/0 |
  | ArrayInst                            | PASS   |     0 |      0 |     0 |       3 |    823 |     0 |     0.00 |      30 |      11 |      1/10 |
  | ArrayMethodIterator                  | PASS   |     0 |      0 |     0 |       2 |    594 |     4 |     0.00 |      35 |      20 |     14/31 |
  | ArrayNet                             | PASS   |     0 |      0 |     0 |       1 |    172 |     0 |     0.00 |      35 |      19 |       1/7 |
  | ArrayTypespec                        | PASS   |     0 |      0 |     0 |       1 |    277 |     0 |     0.00 |      31 |      13 |       0/3 |
  | ArrayVarName                         | PASS   |     0 |      0 |     0 |       1 |    428 |     0 |     0.00 |      31 |      16 |       0/0 |
  | Assert                               | PASS   |     0 |      0 |     0 |       1 |     70 |     2 |     0.00 |      34 |      18 |       1/7 |
  | AssertDelayError                     | PASS   |     0 |      0 |     0 |       3 |   2907 |    30 |     0.00 |      31 |      18 |       0/0 |
  | Assertions                           | PASS   |     0 |      0 |     0 |       3 |    787 |     5 |     0.00 |      31 |      15 |       0/0 |
  | AssertTempError                      | PASS   |     0 |      0 |     0 |       3 |   4834 |    24 |     0.01 |      36 |      20 |       0/0 |
  | AssignmentPatternInAssignmentPattern | PASS   |     0 |      0 |     0 |       4 |   4598 |     0 |     0.00 |      31 |      15 |     10/44 |
  | Assignments                          | PASS   |     0 |      0 |     3 |       1 |   1770 |    13 |     0.00 |      31 |      16 |       0/0 |
  | AssignPattern                        | PASS   |     0 |      0 |     0 |       1 |    149 |     0 |     0.00 |      33 |      18 |       1/4 |
  | AssignPatternArray                   | PASS   |     0 |      0 |     0 |       4 |   5731 |     0 |     0.00 |      31 |      15 |     15/50 |
  | AssignPlus                           | PASS   |     0 |      0 |     0 |       1 |   2127 |     0 |     0.00 |      29 |       5 |       0/0 |
  | AssignRhsFlat                        | PASS   |     0 |      0 |     0 |       1 |    405 |     0 |     0.00 |      31 |      15 |       0/0 |
  | AssignSubs                           | PASS   |     0 |      0 |     0 |       2 |    536 |     0 |     0.00 |      34 |      18 |      4/19 |
  | AssociativeArray                     | PASS   |     0 |      0 |     0 |       1 |    257 |     0 |     0.00 |      32 |      16 |       2/7 |
  | AssumeProp                           | PASS   |     0 |      0 |     1 |       1 |    232 |     2 |     0.00 |      33 |      18 |       0/0 |
  | Attributes                           | PASS   |     0 |      0 |     3 |       8 |   2211 |    20 |     0.00 |      31 |      15 |     18/98 |
  | Attributes2                          | PASS   |     0 |      0 |     0 |       2 |    914 |     0 |     0.00 |      31 |      17 |      3/12 |
  | AttributesNets                       | PASS   |     0 |      0 |     0 |       1 |    223 |     0 |     0.00 |      33 |      18 |       3/7 |
  | AVLMM                                | PASS   |     0 |      0 |     4 |       4 |      5 |    33 |     0.75 |      71 |      55 |       0/0 |
  | AxiInterconnect                      | PASS   |     0 |      0 |     0 |       0 | 152937 |     0 |     1.24 |     111 |      96 |  817/1215 |
  | AzadiRTL                             | PASS   |     0 |      0 |     0 |       6 |   5917 |    76 |     9.20 |     739 |     717 |       0/0 |
  | BadLabel                             | PASS   |     0 |      0 |     9 |       9 |    468 |     9 |     0.00 |      36 |      21 |     19/66 |
  | BadScope                             | PASS   |     0 |      0 |     1 |       2 |    533 |     0 |     0.00 |      31 |      14 |      7/26 |
  | BasicOh                              | PASS   |     0 |      0 |     0 |       0 |  27340 |     0 |     1.22 |     127 |     110 |       0/0 |
  | BeginKeywords                        | PASS   |     0 |      0 |     0 |       1 |      6 |     0 |     0.00 |      34 |      21 |      8/10 |
  | BiasValue                            | PASS   |     0 |      0 |     0 |       1 |   1097 |     0 |     0.00 |      31 |      15 |       0/0 |
  | BinarySize                           | PASS   |     0 |      0 |     0 |       1 |    513 |     0 |     0.00 |      30 |      12 |      1/13 |
  | BindingPort                          | PASS   |     0 |      0 |     1 |       2 |    181 |     0 |     0.00 |      29 |       5 |      4/19 |
  | Bindings                             | PASS   |     0 |      0 |     2 |       6 |   4227 |     4 |     0.25 |      49 |      34 |       0/0 |
  | BindMethod                           | PASS   |     0 |      0 |     0 |       1 |    381 |     5 |     0.00 |      31 |      15 |     12/23 |
  | BindStmt                             | PASS   |     0 |      0 |     0 |       7 |   1801 |     0 |     0.00 |      31 |      14 |       0/0 |
  | BindStmt2                            | PASS   |     0 |      0 |     5 |       3 |   2942 |     7 |     0.00 |      34 |      21 |     53/71 |
  | BindVarsAndEnum                      | PASS   |     0 |      0 |     0 |       1 |   1277 |     2 |     0.00 |      32 |      16 |      6/18 |
  | BitComplex                           | PASS   |     0 |      0 |     0 |       2 |    595 |     0 |     0.01 |      38 |      22 |       0/0 |
  | BitPartSelect                        | PASS   |     0 |      0 |     0 |       1 |    633 |     0 |     0.00 |      31 |      16 |      1/10 |
  | BitRanges                            | PASS   |     0 |      0 |     0 |       1 |    347 |     0 |     0.00 |      34 |      19 |       5/6 |
  | BitsArray                            | PASS   |     0 |      0 |     0 |       3 |    684 |     0 |     0.00 |      31 |      17 |       0/0 |
  | BitSelect                            | PASS   |     0 |      0 |     0 |       2 |    773 |     0 |     0.00 |      33 |      17 |      8/15 |
  | BitSelectExpr                        | PASS   |     0 |      0 |     0 |       1 |    329 |     0 |     0.00 |      32 |      18 |      4/12 |
  | BitSelectHier                        | PASS   |     0 |      0 |     0 |       1 |    663 |     6 |     0.00 |      35 |      20 |     19/47 |
  | BitSelectPartSelectInFunction        | PASS   |     0 |      0 |     0 |       1 |    665 |     0 |     0.00 |      31 |      14 |       0/0 |
  | BitSelectSelect                      | PASS   |     0 |      0 |     0 |       1 |    393 |     0 |     0.00 |      31 |      17 |       4/9 |
  | BitsHierPath                         | PASS   |     0 |      0 |     0 |       3 |    614 |     0 |     0.00 |      32 |      16 |      8/17 |
  | BitsInGenBlock                       | PASS   |     0 |      0 |     0 |       2 |    502 |     0 |     0.00 |      33 |      17 |     11/31 |
  | BitsLogic                            | PASS   |     0 |      0 |     0 |       2 |    434 |     0 |     0.00 |      34 |      19 |       0/0 |
  | BitsOp                               | PASS   |     0 |      0 |     0 |       6 |   4485 |     0 |     0.24 |      46 |      34 |       0/0 |
  | BitsStructMember                     | PASS   |     0 |      0 |     0 |       2 |   1051 |     0 |     0.00 |      34 |      19 |       0/0 |
  | BlackBePipeInt                       | PASS   |     0 |      0 |     0 |       3 |      5 |     0 |     2.49 |     267 |     254 |       0/0 |
  | BlackBox                             | PASS   |     0 |      0 |     0 |       3 |    271 |     0 |     0.00 |      31 |      15 |     10/34 |
  | BlackBoxInst                         | PASS   |     0 |      0 |     1 |       3 |    194 |     0 |     0.00 |      31 |      15 |     10/34 |
  | BlackBoxInstTop                      | PASS   |     0 |      0 |     0 |       3 |     56 |     0 |     0.00 |      31 |      17 |     10/34 |
  | BlackboxMissingDef                   | PASS   |     0 |      0 |     0 |       4 |    280 |     0 |     0.00 |      36 |      21 |       4/5 |
  | BlackBoxMod                          | PASS   |     0 |      0 |     0 |       3 |     56 |     0 |     0.00 |      31 |      14 |     10/34 |
  | BlackBoxSubMod                       | PASS   |     0 |      0 |     1 |       3 |    155 |     0 |     0.00 |      30 |      13 |     10/34 |
  | BlackConst                           | PASS   |     0 |      0 |     0 |       2 |   2413 |     0 |     0.25 |      56 |      40 |       0/0 |
  | BlackParrotComplex                   | PASS   |     0 |      0 |     0 |       3 |   5874 |     0 |     0.00 |      31 |      15 |       0/0 |
  | BlackParrotConf                      | PASS   |     0 |      0 |     0 |      10 | 166798 |    27 |     3.55 |     138 |     123 |       0/0 |
  | BlackParrotMuteErrors                | PASS   |     0 |      0 |     1 |       6 | 267552 |   306 |     0.49 |      77 |      66 |       0/0 |
  | BlackParrotParam                     | PASS   |     0 |      0 |     1 |       6 | 104314 |     0 |     1.80 |     108 |      96 |       0/0 |
  | BlackParrotSkipParam                 | PASS   |     0 |      0 |     0 |       2 |    947 |     0 |     0.00 |      31 |      14 |       0/0 |
  | BlackParrotStructParam               | PASS   |     0 |      0 |     0 |       5 |   3689 |     0 |     0.00 |      31 |      15 |       0/0 |
  | BlockingAssignRewrite                | PASS   |     0 |      0 |     0 |       0 |   3036 |     0 |     0.00 |      22 |       2 |       0/0 |
  | BuildOVMPkg                          | PASS   |     0 |      0 |     0 |      16 |      8 |  1757 |    14.32 |     560 |     538 |       0/0 |
  | BuildUVMPkg                          | PASS   |     0 |      0 |     0 |       1 |      5 |  3903 |    23.92 |    1071 |    1045 |       0/0 |
  | BuiltInMethod                        | PASS   |     0 |      0 |     0 |       1 |    303 |     4 |     0.00 |      32 |      16 |       5/7 |
  | CarryAdd                             | PASS   |     0 |      0 |     0 |       1 |   1467 |     0 |     0.25 |      47 |      35 |       0/0 |
  | CarryTrans                           | PASS   |     0 |      0 |     0 |       3 |   3088 |    12 |     0.00 |      32 |      18 |     22/37 |
  | CaseExpression                       | PASS   |     0 |      0 |     0 |       1 |   3094 |     0 |     0.00 |      32 |      15 |     11/59 |
  | CaseFullElab                         | PASS   |     0 |      0 |     0 |       1 |   2423 |     0 |     0.00 |      35 |      22 |       0/0 |
  | CaseInside                           | PASS   |     0 |      0 |     3 |       2 |   1132 |     2 |     0.00 |      34 |      18 |      7/29 |
  | CastEnum                             | PASS   |     0 |      0 |     1 |       2 |   1061 |     0 |     0.00 |      33 |      20 |      2/17 |
  | CastPartSelect                       | PASS   |     0 |      0 |     1 |       1 |   1022 |     1 |     0.00 |      33 |      17 |      4/10 |
  | CastShift                            | PASS   |     0 |      0 |     0 |       4 |   1497 |     0 |     0.00 |      32 |      16 |     16/23 |
  | CastStructMember                     | PASS   |     0 |      0 |     0 |       1 |    425 |     0 |     0.00 |      31 |      14 |      3/10 |
  | CastToParam                          | PASS   |     0 |      0 |     0 |       1 |    280 |     0 |     0.00 |      33 |      17 |      6/11 |
  | CastTypespec                         | PASS   |     0 |      0 |     2 |       3 |   2420 |     1 |     0.00 |      31 |      14 |       0/0 |
  | CastUnsigned                         | PASS   |     0 |      0 |     1 |       2 |   1122 |     0 |     0.00 |      31 |      14 |      6/17 |
  | Cell                                 | PASS   |     0 |      0 |     0 |       3 |   1161 |     0 |     0.00 |      31 |      15 |       2/4 |
  | Chandle                              | PASS   |     0 |      0 |     0 |       1 |     41 |     2 |     0.00 |      33 |      17 |       1/3 |
  | Checker                              | PASS   |     0 |      0 |     0 |       0 |      6 |     0 |     0.00 |      34 |      17 |       0/0 |
  | CheckerInst                          | PASS   |     0 |      0 |     0 |       1 |    417 |    10 |     0.00 |      35 |      23 |       0/0 |
  | ClassCons                            | PASS   |     0 |      0 |     0 |       1 |      6 |    14 |     0.00 |      31 |      16 |     35/98 |
  | ClassExtendParam                     | PASS   |     0 |      0 |     0 |       1 |    350 |     9 |     0.00 |      35 |      20 |     23/33 |
  | ClassExtends                         | PASS   |     0 |      0 |     0 |       3 |    148 |     4 |     0.00 |      34 |      18 |      8/28 |
  | ClassFsm                             | PASS   |     0 |      0 |     0 |       1 |   2874 |    10 |     0.00 |      32 |      16 |       0/0 |
  | ClassFuncProto                       | PASS   |     0 |      0 |     5 |       1 |   1553 |    20 |     0.00 |      33 |      18 |     10/52 |
  | ClassFuncTask                        | PASS   |     0 |      0 |     1 |       1 |    456 |     3 |     0.00 |      33 |      17 |     17/27 |
  | ClassMemberFunc                      | PASS   |     0 |      0 |     0 |       1 |    439 |     8 |     0.00 |      33 |      17 |      8/20 |
  | ClassMemberRef                       | PASS   |     0 |      0 |     0 |       2 |    404 |     6 |     0.00 |      35 |      20 |     11/29 |
  | ClassMethodCall                      | PASS   |     0 |      0 |     1 |       3 |    831 |     9 |     0.00 |      30 |      13 |     27/51 |
  | ClassMini                            | PASS   |     0 |      0 |     0 |       2 |    569 |     8 |     0.00 |      31 |      15 |     16/30 |
  | ClassParam                           | PASS   |     0 |      0 |     0 |       1 |    204 |     3 |     0.00 |      32 |      15 |      8/14 |
  | ClassParamAsParam                    | PASS   |     0 |      0 |     0 |       1 |    322 |     6 |     0.00 |      34 |      19 |      7/19 |
  | ClassScope                           | PASS   |     0 |      0 |   176 |       4 |  19034 |    96 |     1.26 |      67 |      56 |       0/0 |
  | ClassTypeParam                       | PASS   |     0 |      0 |     0 |       1 |    482 |     7 |     0.00 |      31 |      16 |     11/27 |
  | ClassTypeParamAlias                  | PASS   |     0 |      0 |     0 |       0 |    831 |     1 |     0.00 |      33 |      18 |      5/10 |
  | ClassVar                             | PASS   |     0 |      0 |     0 |       1 |    554 |     7 |     0.00 |      34 |      18 |     12/28 |
  | ClassVirtual                         | PASS   |     0 |      0 |     0 |       1 |    117 |     6 |     0.00 |      31 |      18 |      8/16 |
  | ClockingBlock                        | PASS   |     0 |      0 |     1 |       1 |   1030 |    18 |     0.00 |      31 |      16 |      4/17 |
  | ClockingDrive                        | PASS   |     0 |      0 |    12 |       1 |   1153 |    28 |     0.00 |      32 |      16 |     15/36 |
  | ClockingSntx                         | PASS   |     0 |      0 |     1 |       2 |   1084 |    22 |     0.00 |      31 |      15 |     10/27 |
  | ClogCast                             | PASS   |     0 |      0 |     0 |       1 |   1909 |     0 |     0.00 |      31 |      17 |       0/0 |
  | ClogParam                            | PASS   |     0 |      0 |     0 |       2 |    653 |     0 |     0.00 |      32 |      15 |     10/19 |
  | CmdLineOverride                      | PASS   |     0 |      0 |     1 |       2 |     89 |     0 |     0.00 |      22 |       3 |       0/6 |
  | Compl1001                            | PASS   |     0 |      0 |     0 |       1 |      5 |   655 |    52.28 |    2293 |    2272 |  661/1190 |
  | ComplexBitSelect                     | PASS   |     0 |      0 |     0 |       2 |   2588 |     0 |     0.00 |      30 |      12 |      6/24 |
  | ComplexEscaped                       | PASS   |     0 |      0 |     0 |       3 |    143 |     0 |     0.00 |      33 |      16 |       1/5 |
  | ComplexExprSize                      | PASS   |     0 |      0 |     0 |       1 |    231 |     0 |     0.00 |      33 |      16 |       2/5 |
  | ComplexHierPath                      | PASS   |     0 |      0 |     0 |       1 |    881 |     0 |     0.00 |      30 |      14 |     19/21 |
  | ComplexParamOverload                 | PASS   |     0 |      0 |     0 |       6 |   1163 |     0 |     0.00 |      30 |      11 |     16/74 |
  | ComplexParamOverload2                | PASS   |     0 |      0 |     0 |       6 |   1111 |     0 |     0.00 |      30 |      13 |     21/66 |
  | ComplexVarSelect                     | PASS   |     0 |      0 |     0 |       1 |    446 |     0 |     0.00 |      32 |      19 |       4/7 |
  | ConcatOrder                          | PASS   |     0 |      0 |     0 |       3 |   2408 |     0 |     0.00 |      31 |      14 |       0/0 |
  | ConcatRadix                          | PASS   |     0 |      0 |     0 |       4 |    191 |     0 |     0.00 |      34 |      19 |       4/9 |
  | ConcatVal                            | PASS   |     0 |      0 |     0 |       1 |   1218 |     0 |     0.00 |      32 |      18 |      1/15 |
  | ConcatWidth                          | PASS   |     0 |      0 |     0 |       1 |   1456 |     0 |     0.00 |      31 |      14 |      6/18 |
  | ConditionalOp                        | PASS   |     0 |      0 |     0 |       2 |   1861 |     0 |     0.00 |      34 |      18 |      6/24 |
  | CondOpLazyEval                       | PASS   |     0 |      0 |     1 |       1 |    341 |     0 |     0.00 |      22 |       2 |       2/5 |
  | CondOpPattern                        | PASS   |     0 |      0 |     0 |       1 |    446 |     0 |     0.00 |      30 |      11 |      6/12 |
  | CondOpPred                           | PASS   |     0 |      0 |     0 |       2 |    367 |     0 |     0.00 |      34 |      19 |      9/17 |
  | Connection                           | PASS   |     0 |      0 |     0 |       2 |   1010 |     0 |     0.00 |      32 |      16 |     13/19 |
  | ConstantBits                         | PASS   |     0 |      0 |     0 |       1 |    489 |     0 |     0.00 |      33 |      17 |      2/12 |
  | ConstantNoElabUhdm                   | PASS   |     0 |      0 |     0 |       1 |    261 |     0 |     0.00 |      33 |      20 |       7/8 |
  | ConstantRange                        | PASS   |     0 |      0 |     0 |       2 |    851 |     0 |     0.00 |      31 |      14 |      9/21 |
  | ConstantWithElabUhdm                 | PASS   |     0 |      0 |     0 |       1 |    264 |     0 |     0.00 |      21 |       5 |       7/8 |
  | ConstCapital                         | PASS   |     0 |      0 |     0 |       2 |    214 |     0 |     0.00 |      32 |      15 |      6/19 |
  | ConstExpand                          | PASS   |     0 |      0 |     0 |       1 |    387 |     0 |     0.00 |      33 |      17 |      3/15 |
  | ConstHighConn                        | PASS   |     0 |      0 |     0 |       2 |    271 |     0 |     0.00 |      33 |      17 |       3/9 |
  | ConstPort                            | PASS   |     0 |      0 |     0 |       3 |    305 |     0 |     0.00 |      31 |      17 |      7/20 |
  | ContAssign                           | PASS   |     0 |      0 |     0 |       1 |    989 |     2 |     0.00 |      31 |      14 |      4/14 |
  | ContAssignConst                      | PASS   |     0 |      0 |     0 |       1 |   1176 |     0 |     0.00 |      32 |      16 |     20/29 |
  | Context                              | PASS   |     0 |      0 |     0 |       1 |    145 |     0 |     0.00 |      31 |      16 |       2/3 |
  | CoresSweRV                           | PASS   |     0 |      0 |     1 |     121 |     15 |  4506 |    16.84 |    1020 |     993 |       0/0 |
  | CoresSweRVMP                         | PASS   |     0 |      0 |     1 |     121 |     15 |  4506 |    15.57 |    1550 |    1153 |       0/0 |
  | Covergroup                           | PASS   |     0 |      0 |     0 |       0 |      6 |     0 |     0.00 |      33 |      17 |       0/0 |
  | CovMacro                             | PASS   |     0 |      0 |     0 |       2 |   1692 |    27 |     0.00 |      31 |      16 |     12/25 |
  | CrossFunc                            | PASS   |     0 |      0 |     0 |       1 |    738 |     2 |     0.00 |      33 |      17 |     21/32 |
  | CrossItem                            | PASS   |     0 |      0 |     0 |       1 |    139 |     0 |     0.00 |      32 |      16 |     23/25 |
  | DashYTest                            | PASS   |     0 |      0 |     0 |       0 |     46 |     0 |     0.00 |      35 |      20 |       1/3 |
  | DataAttrib                           | PASS   |     0 |      0 |     0 |       1 |    120 |     0 |     0.00 |      34 |      21 |       2/7 |
  | DecimalSize                          | PASS   |     0 |      0 |     0 |       3 |  30876 |     0 |     0.99 |      69 |      56 |       0/0 |
  | DecValue                             | PASS   |     0 |      0 |     0 |       1 |    315 |     0 |     0.00 |      33 |      18 |       4/7 |
  | DefaultAssign                        | PASS   |     0 |      0 |     0 |       2 |    477 |     0 |     0.00 |      31 |      15 |      2/14 |
  | DefaultNetType                       | PASS   |     0 |      0 |     8 |       4 |   1065 |     0 |     0.00 |      35 |      21 |      9/49 |
  | DefaultPatternAssign                 | PASS   |     0 |      0 |     0 |       5 |    683 |     0 |     0.00 |      31 |      15 |      7/25 |
  | DefaultPatternInt                    | PASS   |     0 |      0 |     0 |       3 |   1554 |     0 |     0.01 |      37 |      22 |       0/0 |
  | DefaultPatternModule                 | PASS   |     0 |      0 |     0 |       2 |    971 |     0 |     0.00 |      32 |      16 |       0/0 |
  | DefaultTag                           | PASS   |     0 |      0 |     0 |       1 |    856 |     0 |     0.00 |      31 |      14 |       0/9 |
  | DeferAssert                          | PASS   |     0 |      0 |     0 |       1 |    114 |     0 |     0.00 |      31 |      15 |       2/4 |
  | DefParamFromParam                    | PASS   |     0 |      0 |     0 |       2 |    305 |     0 |     0.00 |      31 |      14 |       0/0 |
  | DefParamIndex                        | PASS   |     0 |      0 |     0 |       4 |    613 |     0 |     0.00 |      31 |      17 |     21/33 |
  | Delay2Param                          | PASS   |     0 |      0 |     0 |       6 |   1164 |     5 |     0.00 |      30 |      16 |     15/29 |
  | DelayAssign                          | PASS   |     0 |      0 |     0 |       1 |   3277 |     0 |     0.00 |      31 |      15 |     39/79 |
  | DiffSimpleIncludeAndMacros           | PASS   |     0 |      6 |    46 |      24 |     22 |     0 |     0.00 |      31 |      15 |       0/0 |
  | Disable                              | PASS   |     0 |      0 |     0 |       1 |     84 |     4 |     0.00 |      34 |      19 |       2/8 |
  | DollarBits                           | PASS   |     0 |      0 |     0 |       2 |    746 |     0 |     0.00 |      33 |      19 |       5/9 |
  | DollarBitsUnary                      | PASS   |     0 |      0 |     0 |       1 |    389 |     0 |     0.00 |      32 |      16 |       2/9 |
  | DollarRoot                           | PASS   |     0 |      0 |    28 |       3 |  21646 |    57 |     1.02 |      78 |      62 |       0/0 |
  | DoubleLoop                           | PASS   |     0 |      0 |     0 |       5 |   2343 |     0 |     0.00 |      30 |      12 |     40/88 |
  | DoublePres                           | PASS   |     0 |      0 |     2 |      18 |   2508 |     3 |     0.00 |      32 |      16 |       0/0 |
  | DoWhile                              | PASS   |     0 |      0 |     1 |       1 |   1094 |     6 |     0.00 |      31 |      16 |      1/14 |
  | DpiChandle                           | PASS   |     0 |      0 |     0 |       1 |    802 |     3 |     0.00 |      32 |      15 |       4/7 |
  | DpiFunc                              | PASS   |     0 |      0 |     0 |       1 |    771 |     0 |     0.00 |      33 |      17 |       3/6 |
  | DpiTask                              | PASS   |     0 |      0 |     0 |       1 |    802 |     0 |     0.00 |      32 |      16 |       3/8 |
  | Driver                               | PASS   |     0 |      0 |     1 |      10 |      9 |  4338 |     3.52 |     211 |     200 |       0/0 |
  | DynArrayKind                         | PASS   |     0 |      0 |     0 |       1 |    463 |     0 |     0.00 |      34 |      19 |       7/9 |
  | Earlgrey                             | PASS   |     0 |      0 |     0 |     184 |  11070 |     4 |    15.42 |    1001 |     970 |       0/0 |
  | Earlgrey_0_1                         | PASS   |     0 |      0 |     0 |     229 |     39 |     4 |    19.68 |    1367 |    1312 |       0/0 |
  | Earlgrey_Verilator_01_05_21          | PASS   |     0 |      0 |     0 |     414 |    111 |    97 |    12.07 |    1878 |    1865 |       0/0 |
  | Earlgrey_Verilator_0_1               | PASS   |     0 |      0 |     0 |     242 |     83 |    83 |    10.55 |    1394 |    1337 |       0/0 |
  | EarlgreyPackParam                    | PASS   |     0 |      0 |     0 |       7 |   1881 |     0 |     0.00 |      30 |      10 |     28/60 |
  | ElabCParam                           | PASS   |     0 |      0 |     0 |       4 |   3116 |     0 |     0.00 |      30 |       8 |     28/67 |
  | ElabIf                               | PASS   |     0 |      0 |     0 |       3 |   1155 |     0 |     0.00 |      30 |      11 |      7/15 |
  | ElabParam                            | PASS   |     0 |      0 |     0 |       2 |   1376 |     0 |     0.00 |      33 |      16 |      6/18 |
  | ElabSysCall                          | PASS   |     1 |      0 |     1 |       5 |    211 |     0 |     0.00 |      34 |      19 |     12/24 |
  | EmptyAssign                          | PASS   |     0 |      0 |     0 |       2 |    432 |     0 |     0.00 |      32 |      16 |      2/17 |
  | EnumConcat                           | PASS   |     0 |      0 |     0 |       2 |    127 |     0 |     0.00 |      32 |      18 |      3/15 |
  | EnumConst                            | PASS   |     0 |      0 |     0 |       1 |     72 |     0 |     0.00 |      32 |      16 |       0/7 |
  | EnumConstConcat                      | PASS   |     0 |      0 |     0 |       4 |    403 |     0 |     0.00 |      34 |      22 |      4/28 |
  | EnumConstElab                        | PASS   |     0 |      0 |     0 |       3 |   1317 |     0 |     0.00 |      31 |      17 |      9/44 |
  | EnumVal                              | PASS   |     0 |      0 |     0 |       1 |    153 |     0 |     0.00 |      33 |      18 |      0/16 |
  | EnumVar                              | PASS   |     0 |      0 |     0 |       2 |    281 |     0 |     0.00 |      32 |      15 |      3/14 |
  | EnumVarNoTypedef                     | PASS   |     0 |      0 |     0 |       1 |    122 |     0 |     0.00 |      32 |      15 |       3/7 |
  | Escape                               | PASS   |     0 |      0 |     2 |      12 |      8 |     7 |     0.00 |      31 |      15 |    64/109 |
  | EvalFunc                             | PASS   |     0 |      0 |     0 |       2 |   2707 |     0 |     0.25 |      49 |      36 |       0/0 |
  | EvalFuncArray                        | PASS   |     0 |      0 |     0 |       1 |   1924 |     0 |     0.00 |      31 |      15 |       0/0 |
  | EvalFuncCont                         | PASS   |     0 |      0 |     0 |       1 |   1531 |     2 |     0.00 |      32 |      16 |       0/0 |
  | EvalFuncNamed                        | PASS   |     0 |      0 |     0 |       3 |   3029 |     0 |     0.00 |      34 |      22 |       0/0 |
  | EvalFuncPack                         | PASS   |     0 |      0 |     0 |       4 |   4298 |     0 |     0.25 |      47 |      33 |       0/0 |
  | Event                                | PASS   |     0 |      0 |     0 |       1 |     40 |     2 |     0.00 |      34 |      21 |       1/3 |
  | ExpectStmt                           | PASS   |     0 |      0 |     0 |       1 |    226 |     2 |     0.00 |      30 |      14 |      1/11 |
  | ExponTimeIfElseGen                   | PASS   |     0 |      0 |     0 |       1 |    796 |     0 |     0.00 |      32 |      19 |       0/0 |
  | ExprEvalBits                         | PASS   |     0 |      0 |     0 |       1 |    236 |     0 |     0.00 |      31 |      17 |       1/8 |
  | ExprEvalPartial                      | PASS   |     1 |      0 |     0 |       0 |      0 |     0 |     0.00 |       0 |       0 |       0/0 |
  | ExprReductionBits                    | PASS   |     0 |      0 |     0 |       1 |    236 |     0 |     0.00 |      31 |      15 |       1/8 |
  | ExtendClassMember                    | PASS   |     0 |      0 |     1 |       1 |    351 |    11 |     0.00 |      30 |      12 |     11/26 |
  | FileList                             | PASS   |     0 |      0 |     0 |       2 |    811 |     0 |     0.00 |      31 |      15 |       0/0 |
  | FileListWithCompressed               | PASS   |     0 |      0 |     0 |       2 |    810 |     0 |     0.00 |      31 |      16 |       0/0 |
  | FileLocalParam                       | PASS   |     0 |      0 |     0 |       0 |    221 |     0 |     0.00 |      31 |      15 |       0/0 |
  | FilePackageImport                    | PASS   |     0 |      0 |     0 |       1 |    171 |     0 |     0.00 |      31 |      15 |      4/12 |
  | FilePackUnion                        | PASS   |     0 |      0 |     0 |       4 |    933 |     0 |     0.00 |      34 |      19 |      8/38 |
  | FileParam                            | PASS   |     0 |      0 |     0 |       1 |    121 |     0 |     0.00 |      31 |      15 |       0/0 |
  | FileResolutionFunction               | PASS   |     0 |      0 |     0 |       0 |     70 |     4 |     0.00 |      31 |      15 |       2/5 |
  | FileTypespec                         | PASS   |     0 |      0 |     0 |       3 |    437 |     0 |     0.00 |      30 |      13 |      5/27 |
  | ForeachArray                         | PASS   |     0 |      0 |     0 |       1 |    249 |     0 |     0.00 |      34 |      18 |      3/10 |
  | ForeachClass                         | PASS   |     0 |      0 |     0 |       1 |    820 |     1 |     0.00 |      34 |      22 |       0/0 |
  | ForeachClassParent                   | PASS   |     0 |      0 |     0 |       1 |    270 |     3 |     0.00 |      31 |      15 |      7/18 |
  | ForeachForeach                       | PASS   |     0 |      0 |     0 |       1 |    866 |     1 |     0.00 |      32 |      16 |      7/23 |
  | ForeachFunction                      | PASS   |     0 |      0 |     0 |       1 |    334 |     0 |     0.00 |      34 |      19 |      2/10 |
  | ForeachSquare                        | PASS   |     0 |      0 |     0 |       1 |    409 |     0 |     0.00 |      34 |      18 |      4/11 |
  | ForElab                              | PASS   |     0 |      0 |     0 |       3 |   1621 |     0 |     0.25 |      35 |      19 |       0/0 |
  | ForLoop                              | PASS   |     0 |      0 |     0 |       1 |   3372 |     2 |     0.00 |      31 |      15 |     19/28 |
  | ForLoopBind                          | PASS   |     0 |      0 |     0 |       1 |   1707 |     6 |     0.00 |      31 |      14 |     23/36 |
  | FSM2Always                           | PASS   |     0 |      0 |     0 |       1 |   3348 |    24 |     0.00 |      31 |      17 |       0/0 |
  | FSMBsp13                             | PASS   |     0 |      0 |     0 |       4 |  20888 |    62 |     0.24 |      48 |      33 |       0/0 |
  | FSMFunction                          | PASS   |     0 |      0 |     0 |       1 |   3529 |    24 |     0.00 |      31 |      14 |       0/0 |
  | FSMSingleAlways                      | PASS   |     0 |      0 |     0 |       1 |   2738 |    18 |     0.00 |      31 |      15 |       0/0 |
  | Func128Bits                          | PASS   |     0 |      0 |     0 |       2 |   1898 |     0 |     0.00 |      30 |      16 |       0/0 |
  | FuncArgDirection                     | PASS   |     0 |      0 |     0 |       1 |   1374 |     0 |     0.00 |      31 |      14 |       0/0 |
  | FuncArgs                             | PASS   |     0 |      0 |     0 |       1 |   1221 |     0 |     0.00 |      30 |      14 |      7/25 |
  | FuncArgsByName                       | PASS   |     0 |      0 |     0 |       1 |    933 |     0 |     0.00 |      31 |      14 |      5/18 |
  | FuncAttrib                           | PASS   |     0 |      0 |     0 |       1 |    867 |     0 |     0.00 |      34 |      22 |     10/22 |
  | FuncBindGen                          | PASS   |     0 |      0 |     0 |       1 |    622 |     0 |     0.00 |      34 |      20 |      8/14 |
  | FuncBinding                          | PASS   |     0 |      0 |     0 |       1 |   1796 |     4 |     0.00 |      34 |      18 |     14/53 |
  | FuncBinding2                         | PASS   |     0 |      0 |     0 |       1 |    702 |     0 |     0.00 |      34 |      19 |       0/0 |
  | FuncCase                             | PASS   |     0 |      0 |     0 |       3 |    602 |     0 |     0.00 |      33 |      17 |      6/30 |
  | FuncDeclScope                        | PASS   |     0 |      0 |     0 |       2 |    657 |     0 |     0.00 |      31 |      15 |     13/40 |
  | FuncDef                              | PASS   |     0 |      0 |     0 |       4 |    465 |     1 |     0.00 |      31 |      16 |      6/16 |
  | FuncDef2                             | PASS   |     0 |      0 |    11 |       2 |  29088 |     9 |     0.50 |      57 |      42 |       0/0 |
  | FuncDefaultVal                       | PASS   |     0 |      0 |     0 |       1 |    574 |     0 |     0.00 |      34 |      18 |      4/10 |
  | FuncInModule                         | PASS   |     0 |      0 |     0 |       1 |   3040 |     0 |     0.25 |      52 |      40 |       0/0 |
  | FuncIoTypespec                       | PASS   |     0 |      0 |     0 |       2 |   4532 |     0 |     0.00 |      31 |      15 |       0/0 |
  | FuncNoArgs                           | PASS   |     0 |      0 |     0 |       1 |   1467 |     0 |     0.00 |      31 |      17 |       0/0 |
  | FuncParam                            | PASS   |     0 |      0 |     0 |       2 |   1106 |     0 |     0.00 |      31 |      14 |      7/19 |
  | FuncParam2                           | PASS   |     0 |      0 |     0 |       1 |    860 |     0 |     0.00 |      31 |      14 |       0/6 |
  | FuncRetArray                         | PASS   |     0 |      0 |     0 |       2 |    662 |     0 |     0.00 |      33 |      16 |     14/24 |
  | FuncReturnRange                      | PASS   |     0 |      0 |     0 |       1 |    937 |     0 |     0.00 |      32 |      18 |       0/0 |
  | FuncSideEffect                       | PASS   |     0 |      0 |     0 |       1 |   1066 |     0 |     0.00 |      31 |      14 |      8/23 |
  | FuncStatic                           | PASS   |     0 |      0 |     0 |       1 |    714 |     0 |     0.00 |      32 |      16 |      6/20 |
  | FuncStruct                           | PASS   |     0 |      0 |     0 |       2 |   1616 |     0 |     0.00 |      32 |      16 |       0/0 |
  | GateLevel                            | PASS   |     0 |      0 |     1 |       2 |   2765 |     1 |     0.00 |      31 |      17 |     16/20 |
  | Gates                                | PASS   |     0 |      0 |     0 |      11 |  10044 |    82 |     0.00 |      31 |      15 |    51/235 |
  | GenBlock                             | PASS   |     0 |      0 |     0 |       2 |    266 |     0 |     0.00 |      32 |      16 |       0/0 |
  | GenBlockVar                          | PASS   |     0 |      0 |     0 |       1 |    935 |     0 |     0.00 |      31 |      17 |       0/0 |
  | GenCase                              | PASS   |     0 |      0 |     0 |       2 |    448 |     0 |     0.00 |      32 |      18 |     10/19 |
  | GenCaseStmt                          | PASS   |     0 |      0 |     1 |       2 |    602 |     0 |     0.00 |      31 |      15 |     18/30 |
  | GenerateAssigns                      | PASS   |     0 |      0 |     0 |       1 |   1170 |     0 |     0.00 |      31 |      15 |       0/0 |
  | GenerateBlock                        | PASS   |     0 |      0 |     0 |       1 |    666 |     0 |     0.00 |      32 |      15 |     16/19 |
  | GenerateBlock2                       | PASS   |     0 |      0 |     0 |       2 |    581 |     0 |     0.00 |      33 |      17 |       0/0 |
  | GenerateInterface                    | PASS   |     0 |      0 |     0 |       4 |   1981 |     3 |     0.00 |      31 |      15 |     21/43 |
  | GenerateModule                       | PASS   |     0 |      0 |     0 |      19 |   1933 |     0 |     0.00 |      31 |      14 |       0/0 |
  | GenerateRegion                       | PASS   |     0 |      0 |     0 |      12 |   3955 |     0 |     0.24 |      30 |      15 |       0/0 |
  | GenerateUnnamed                      | PASS   |     0 |      0 |     0 |       2 |   1994 |     0 |     0.00 |      31 |      15 |       0/0 |
  | GenFor                               | PASS   |     0 |      0 |     0 |       1 |    394 |     0 |     0.00 |      33 |      20 |       2/8 |
  | GenForDec                            | PASS   |     0 |      0 |     0 |       1 |   1253 |     0 |     0.00 |      31 |      16 |      8/20 |
  | GenIf                                | PASS   |     0 |      0 |     0 |       1 |    833 |     0 |     0.00 |      34 |      18 |      9/14 |
  | GenIfElse                            | PASS   |     0 |      0 |     0 |       1 |    116 |     0 |     0.00 |      31 |      17 |      4/10 |
  | GenIfNamed                           | PASS   |     0 |      0 |     0 |       1 |    539 |     0 |     0.00 |      35 |      22 |      5/13 |
  | GenModHierPath                       | PASS   |     0 |      0 |     0 |       2 |    307 |     0 |     0.00 |      30 |      13 |      6/20 |
  | GenNet                               | PASS   |     0 |      0 |     0 |       2 |    670 |     0 |     0.00 |      31 |      17 |       0/0 |
  | GenScopeFullName                     | PASS   |     0 |      0 |     0 |       2 |    374 |     0 |     0.00 |      30 |      13 |       0/0 |
  | GenScopeFunc                         | PASS   |     0 |      0 |     0 |       2 |    290 |     2 |     0.00 |      34 |      21 |      8/16 |
  | GenScopeHierPath                     | PASS   |     0 |      0 |     0 |       5 |   4169 |     0 |     0.00 |      31 |      15 |     22/53 |
  | GenScopeHierPath2                    | PASS   |     0 |      0 |     0 |       1 |    683 |     0 |     0.00 |      32 |      16 |       0/0 |
  | Google                               | PASS   |     0 |     75 |   144 |    1762 |      0 |     0 |   148.70 |    1383 |    1363 |       0/0 |
  | Guards                               | PASS   |     0 |      0 |     0 |       1 |      4 |     0 |     0.00 |      30 |      16 |       3/6 |
  | HierBitSelect                        | PASS   |     0 |      0 |     0 |       1 |    345 |     0 |     0.00 |      30 |      11 |       3/6 |
  | HierBitSlice                         | PASS   |     0 |      0 |     0 |       5 |  24342 |    43 |     0.24 |      57 |      42 |       0/0 |
  | HierMultiSelect                      | PASS   |     0 |      0 |     4 |       1 |    601 |     1 |     0.00 |      30 |       8 |       0/0 |
  | HierPathBeginBlock                   | PASS   |     0 |      0 |     0 |       1 |   1187 |     0 |     0.00 |      30 |      11 |       0/0 |
  | HierPathBind                         | PASS   |     0 |      0 |     0 |       2 |    394 |     0 |     0.00 |      30 |      11 |      4/15 |
  | HierPathCont                         | PASS   |     0 |      0 |     0 |       1 |    682 |     0 |     0.00 |      33 |      16 |     11/22 |
  | HierPathEval                         | PASS   |     0 |      0 |     0 |       6 |    767 |     0 |     0.00 |      34 |      17 |     20/55 |
  | HierPathInterfBlock                  | PASS   |     0 |      0 |     0 |       2 |    697 |    14 |     0.00 |      34 |      21 |     14/32 |
  | HierPathLhs                          | PASS   |     0 |      0 |     1 |       2 |    566 |     0 |     0.00 |      34 |      19 |       0/0 |
  | HierPathModule                       | PASS   |     0 |      0 |     0 |       3 |    169 |     0 |     0.00 |      32 |      18 |      3/13 |
  | HierPathOverride                     | PASS   |     0 |      0 |     0 |       5 |   2314 |     0 |     0.00 |      33 |      17 |     17/50 |
  | HierPathPackedArrayNet               | PASS   |     0 |      0 |     0 |       2 |    794 |     0 |     0.00 |      34 |      17 |       0/0 |
  | HierPathPackedStruct                 | PASS   |     0 |      0 |     0 |       1 |    257 |     0 |     0.00 |      30 |      13 |      6/17 |
  | HierPathPackedVar                    | PASS   |     0 |      0 |     0 |       2 |   1038 |     8 |     0.00 |      32 |      16 |       0/0 |
  | HierPathSelect                       | PASS   |     0 |      0 |     0 |       1 |    182 |     0 |     0.00 |      32 |      18 |       0/0 |
  | HierPathStruct                       | PASS   |     0 |      0 |     0 |       2 |    349 |     0 |     0.00 |      31 |      15 |      2/19 |
  | HierPathStructTypespec               | PASS   |     0 |      0 |     0 |       4 |   2238 |     0 |     0.00 |      33 |      16 |       0/0 |
  | HierPathTfArg                        | PASS   |     0 |      0 |     0 |       1 |    948 |    14 |     0.00 |      31 |      15 |     23/36 |
  | HierPathTypespec                     | PASS   |     0 |      0 |     0 |       2 |    452 |     0 |     0.00 |      33 |      17 |      4/14 |
  | HierPathUnpacked                     | PASS   |     0 |      0 |     0 |       3 |    923 |     0 |     0.00 |      32 |      18 |       0/0 |
  | HierPathUnpackedInPacked             | PASS   |     0 |      0 |     1 |       3 |    925 |     0 |     0.00 |      34 |      19 |       0/0 |
  | HierPathVarArray                     | PASS   |     0 |      0 |     0 |       2 |    421 |     0 |     0.00 |      33 |      17 |      4/13 |
  | HighConnPart                         | PASS   |     0 |      0 |     0 |       2 |   1195 |     0 |     0.00 |      33 |      18 |     14/28 |
  | HighLow                              | PASS   |     0 |      0 |     0 |       2 |    737 |     0 |     0.00 |      34 |      17 |     13/26 |
  | Ibex                                 | PASS   |     0 |      0 |     0 |      10 |      8 | 43265 |    23.25 |    1110 |    1068 |       0/0 |
  | IbexGoogle                           | PASS   |     0 |      0 |     6 |      10 |      9 | 17514 |     6.74 |     544 |     491 |       0/0 |
  | Icarus                               | PASS   |     0 |      9 |    15 |      16 |      0 |     7 |     5.18 |     316 |     304 |       0/0 |
  | IfElseGen                            | PASS   |     0 |      0 |     0 |       4 |    310 |     0 |     0.00 |      31 |      15 |     10/24 |
  | IfElseIf1                            | PASS   |     0 |      0 |     0 |       2 |    292 |     0 |     0.00 |      31 |      14 |       0/0 |
  | IfElseIf2                            | PASS   |     0 |      0 |     0 |       2 |    292 |     0 |     0.00 |      32 |      16 |       0/0 |
  | IfElseIf3                            | PASS   |     0 |      0 |     0 |       2 |    292 |     0 |     0.00 |      31 |      16 |       0/0 |
  | Iff                                  | PASS   |     0 |      0 |     0 |       1 |    388 |     0 |     0.00 |      31 |      14 |       4/8 |
  | IfGen1                               | PASS   |     0 |      0 |     0 |       1 |   1094 |     0 |     0.00 |      32 |      16 |      9/19 |
  | IfGen2                               | PASS   |     0 |      0 |     0 |       1 |   1095 |     0 |     0.00 |      32 |      16 |      9/17 |
  | IfGen3                               | PASS   |     0 |      0 |     0 |       1 |   1112 |     0 |     0.00 |      32 |      15 |     11/21 |
  | IfGenTypeBinding                     | PASS   |     0 |      0 |     0 |       2 |   1253 |     0 |     0.00 |      34 |      21 |      5/24 |
  | IllegalZeroValue                     | PASS   |     0 |      0 |     1 |       1 |     87 |     0 |     0.00 |      31 |      15 |       1/5 |
  | ImplFuncArg                          | PASS   |     0 |      0 |     0 |       1 |    858 |     0 |     0.00 |      30 |      14 |       1/8 |
  | Implicit                             | PASS   |     0 |      0 |     0 |       1 |    315 |     0 |     0.00 |      34 |      22 |       3/8 |
  | ImplicitArg                          | PASS   |     0 |      0 |     0 |       1 |    118 |     0 |     0.00 |      33 |      18 |       3/9 |
  | ImplicitFunc                         | PASS   |     0 |      0 |     0 |       1 |     98 |     0 |     0.00 |      31 |      15 |      1/11 |
  | ImplicitFuncArgAndReturn             | PASS   |     0 |      0 |     0 |       2 |    320 |     0 |     0.00 |      34 |      19 |       0/0 |
  | ImplicitGenBlock                     | PASS   |     0 |      0 |     0 |       1 |    876 |     0 |     0.00 |      31 |      14 |     46/74 |
  | ImplicitParam                        | PASS   |     0 |      0 |     0 |       1 |    291 |     0 |     0.00 |      33 |      18 |       3/4 |
  | ImplicitPort                         | PASS   |     0 |      0 |     0 |       1 |   1125 |     0 |     0.00 |      31 |      14 |       3/6 |
  | ImplicitPorts2                       | PASS   |     0 |      0 |     0 |       2 |    354 |     0 |     0.00 |      33 |      17 |      6/15 |
  | ImplicitVarType                      | PASS   |     0 |      0 |     0 |       1 |     82 |     0 |     0.00 |      35 |      20 |       2/4 |
  | ImportBinding                        | PASS   |     0 |      0 |     0 |       2 |   1030 |     0 |     0.00 |      31 |      15 |      3/19 |
  | ImportedTypespec                     | PASS   |     0 |      0 |     0 |       2 |    419 |     0 |     0.00 |      32 |      16 |      3/10 |
  | ImportPackage                        | PASS   |     0 |      0 |     0 |       3 |    430 |     0 |     0.00 |      34 |      18 |      5/19 |
  | IncompFunc                           | PASS   |     0 |      0 |     0 |       1 |    565 |     0 |     0.00 |      33 |      18 |      6/14 |
  | IncompTitan                          | PASS   |     0 |      0 |     0 |     379 |     41 |  1217 |    19.70 |    1285 |    1241 |       0/0 |
  | IndexAssign                          | PASS   |     0 |      0 |     0 |       1 |    267 |     0 |     0.00 |      30 |      12 |       0/5 |
  | IndexedSelect                        | PASS   |     0 |      0 |     0 |       1 |    367 |     0 |     0.00 |      30 |      13 |       0/0 |
  | IndexedSelectHex                     | PASS   |     0 |      0 |     0 |       5 |   4169 |     0 |     0.00 |      34 |      18 |     22/53 |
  | IndexPartSel                         | PASS   |     0 |      0 |     0 |       1 |    392 |     0 |     0.00 |      32 |      15 |       0/0 |
  | IndexPartSelectBind                  | PASS   |     0 |      0 |     1 |       1 |    449 |     4 |     0.00 |      32 |      15 |      8/23 |
  | InsideOp                             | PASS   |     0 |      0 |     0 |       0 |    696 |     0 |     0.00 |      31 |      14 |     12/38 |
  | InstArray                            | PASS   |     0 |      0 |     0 |       2 |    393 |     0 |     0.00 |      34 |      21 |       3/8 |
  | IntegerConcat                        | PASS   |     0 |      0 |     0 |       3 |   1810 |     0 |     0.00 |      32 |      15 |      7/26 |
  | Interconnect                         | PASS   |     0 |      0 |     0 |       1 |   3421 |     0 |     0.00 |      31 |      15 |     36/43 |
  | InterfaceBinding                     | PASS   |     0 |      0 |     0 |       2 |   1320 |    10 |     0.00 |      32 |      15 |     17/34 |
  | InterfaceElab                        | PASS   |     0 |      0 |     0 |       4 |    542 |     0 |     0.00 |      34 |      18 |     11/36 |
  | InterfaceFuncCall                    | PASS   |     0 |      0 |     0 |       2 |    384 |     4 |     0.00 |      34 |      19 |       0/0 |
  | InterfaceModExp                      | PASS   |     0 |      0 |     0 |       4 |    792 |     2 |     0.00 |      31 |      14 |      7/20 |
  | InterfaceModPort                     | PASS   |     0 |      0 |     0 |       1 |   3732 |    11 |     0.00 |      32 |      16 |       0/0 |
  | InterfaceProcess                     | PASS   |     0 |      0 |     0 |       2 |    173 |     0 |     0.00 |      35 |      20 |      1/15 |
  | InterfAlways                         | PASS   |     0 |      0 |     0 |       2 |    233 |     0 |     0.00 |      32 |      17 |       3/9 |
  | InterfArrayBind                      | PASS   |     0 |      0 |     0 |       2 |    671 |     0 |     0.00 |      33 |      19 |       0/0 |
  | InterfBinding                        | PASS   |     0 |      0 |     0 |       3 |    446 |     0 |     0.00 |      32 |      16 |     14/36 |
  | InterfHierPath                       | PASS   |     0 |      0 |     0 |       2 |    305 |     0 |     0.00 |      32 |      16 |      4/16 |
  | InterfImport                         | PASS   |     0 |      0 |     0 |       2 |    538 |     0 |     0.00 |      34 |      19 |       0/0 |
  | InterfInst                           | PASS   |     0 |      0 |     0 |       3 |    510 |     0 |     0.00 |      32 |      16 |      5/14 |
  | InterfType                           | PASS   |     0 |      0 |     0 |       3 |    225 |     0 |     0.00 |      33 |      17 |      7/18 |
  | InterfTypeBad                        | PASS   |     0 |      0 |     1 |       3 |    180 |     0 |     0.00 |      35 |      20 |      7/18 |
  | InterpElab1                          | PASS   |     0 |      0 |     0 |       1 |   1199 |     0 |     0.00 |      31 |      15 |      0/21 |
  | InvalidTypeParam                     | PASS   |     0 |      0 |     0 |       2 |     77 |     0 |     0.00 |      34 |      19 |       2/7 |
  | Inverter                             | PASS   |     0 |      0 |     0 |       2 |    520 |     0 |     0.00 |      34 |      19 |      7/12 |
  | IOClassStruct                        | PASS   |     0 |      0 |     0 |       1 |    195 |     4 |     0.00 |      31 |      14 |     15/30 |
  | IODataTypes                          | PASS   |     0 |      0 |     0 |       1 |    458 |     0 |     0.00 |      32 |      16 |       7/9 |
  | JKFlipflop                           | PASS   |     0 |      0 |     0 |       3 |   1686 |     0 |     0.00 |      31 |      17 |      7/19 |
  | Kmac                                 | PASS   |     0 |      0 |     0 |       0 |   2592 |     0 |     0.00 |      31 |      17 |       0/0 |
  | LargeConst                           | PASS   |     0 |      0 |     0 |       1 |    325 |     0 |     0.00 |      31 |      15 |       0/8 |
  | LargeHex                             | PASS   |     0 |      0 |     0 |       2 |   1367 |     0 |     0.00 |      31 |      15 |      8/18 |
  | LargeHexCast                         | PASS   |     0 |      0 |     0 |       2 |   1811 |     0 |     0.00 |      33 |      17 |       0/0 |
  | LargeHexString                       | PASS   |     0 |      0 |     0 |       2 |    840 |     0 |     0.00 |      31 |      15 |       0/0 |
  | LargeValue2Struct                    | PASS   |     0 |      0 |     0 |       3 |   1962 |     0 |     0.00 |      33 |      17 |       0/0 |
  | LateBindingFuncArg                   | PASS   |     0 |      0 |     0 |       1 |    147 |     0 |     0.00 |      32 |      18 |       2/7 |
  | LeftPadding                          | PASS   |     0 |      0 |     0 |       4 |    642 |     0 |     0.00 |      33 |      16 |      3/11 |
  | LegalPastFunc                        | PASS   |     0 |      0 |     1 |       1 |    313 |    18 |     0.00 |      33 |      18 |      8/14 |
  | LetExpr                              | PASS   |     0 |      0 |     0 |       1 |    378 |     9 |     0.00 |      35 |      20 |      7/16 |
  | LetInlined                           | PASS   |     0 |      0 |     0 |       1 |    291 |     9 |     0.00 |      34 |      18 |      7/16 |
  | LhsHierPath                          | PASS   |     0 |      0 |     0 |       2 |    483 |     0 |     0.00 |      33 |      20 |      5/17 |
  | LhsOp                                | PASS   |     0 |      0 |     0 |       1 |    384 |     0 |     0.00 |      34 |      18 |      6/14 |
  | LibraryIntercon                      | PASS   |     0 |      0 |     1 |       3 |     28 |    39 |     0.00 |      32 |      15 |       0/0 |
  | LocalParam                           | PASS   |     0 |      0 |     0 |       2 |   1648 |     0 |     0.00 |      31 |      15 |      6/12 |
  | LocalScopeAssign                     | PASS   |     0 |      0 |     1 |       1 |    273 |     0 |     0.00 |      35 |      20 |       3/8 |
  | LocalScopeHierPath                   | PASS   |     0 |      0 |     0 |       1 |    650 |    11 |     0.00 |      31 |      15 |     14/27 |
  | LocalVarTypespec                     | PASS   |     0 |      0 |     0 |       1 |    273 |     0 |     0.00 |      31 |      15 |       4/7 |
  | LogicArrayParam                      | PASS   |     0 |      0 |     0 |       4 |   3645 |     0 |     0.00 |      34 |      18 |     10/32 |
  | LogicCast                            | PASS   |     0 |      0 |     1 |       1 |    907 |     0 |     0.00 |      33 |      17 |       3/5 |
  | LogicSize                            | PASS   |     0 |      0 |     0 |       2 |    418 |     0 |     0.00 |      34 |      19 |      7/13 |
  | LogicTypedef                         | PASS   |     0 |      0 |     0 |       1 |    327 |     0 |     0.00 |      30 |      13 |      5/11 |
  | LogicTypespec                        | PASS   |     0 |      0 |     0 |       2 |    188 |     0 |     0.00 |      31 |      18 |       3/9 |
  | LongHex                              | PASS   |     0 |      0 |     0 |       3 |    749 |     0 |     0.00 |      33 |      18 |      8/15 |
  | Loop                                 | PASS   |     0 |      0 |     1 |       3 |    670 |     0 |     0.00 |      32 |      18 |       0/0 |
  | LoopParam                            | PASS   |     0 |      0 |     2 |       1 |     89 |     0 |     0.00 |      32 |      15 |       0/7 |
  | LoopParamOver                        | PASS   |     0 |      0 |     5 |       2 |    308 |     0 |     0.00 |      31 |      15 |       0/0 |
  | LoopVar                              | PASS   |     0 |      0 |     0 |       1 |    249 |     0 |     0.00 |      31 |      15 |       3/9 |
  | LowMemLib                            | PASS   |     0 |      0 |     0 |       2 |      5 |     0 |     0.00 |      31 |      15 |       0/0 |
  | LowMemPkg                            | PASS   |     0 |      0 |     0 |       2 |    113 |     0 |     0.00 |      30 |      13 |       0/0 |
  | MacroArgMismatch                     | PASS   |     0 |      0 |     0 |       1 |    226 |     2 |     0.00 |      31 |      14 |       4/6 |
  | MaskNeg                              | PASS   |     0 |      0 |     0 |       2 |    145 |     0 |     0.00 |      33 |      19 |       3/9 |
  | MBadder                              | PASS   |     0 |      0 |     0 |       2 |   1311 |     0 |     0.00 |      31 |      14 |       5/7 |
  | MiniAmiq                             | PASS   |     0 |      0 |     0 |      10 |      7 | 10843 |     4.06 |     265 |     254 |       0/0 |
  | MinTypMax                            | PASS   |     0 |      0 |     0 |       1 |    121 |     0 |     0.00 |      33 |      18 |       1/4 |
  | ModPortArrayBind                     | PASS   |     0 |      0 |     0 |       2 |    720 |     0 |     0.00 |      31 |      16 |       0/0 |
  | ModPortHighConn                      | PASS   |     0 |      0 |     0 |       2 |    799 |     0 |     0.00 |      32 |      16 |       0/0 |
  | ModPortParam                         | PASS   |     0 |      0 |     1 |       3 |    210 |     0 |     0.00 |      32 |      16 |      8/17 |
  | ModPortRange                         | PASS   |     0 |      0 |     0 |       7 |   1627 |     0 |     0.00 |      32 |      15 |     15/46 |
  | ModPortTest                          | PASS   |     0 |      0 |     3 |       5 |    688 |     2 |     0.00 |      31 |      15 |     16/53 |
  | Monitor                              | PASS   |     0 |      0 |     0 |      13 |      7 | 11101 |     7.30 |     411 |     397 |       0/0 |
  | MultContAssign                       | PASS   |     0 |      0 |     0 |       3 |   1526 |     0 |     0.00 |      31 |      15 |       0/0 |
  | MultiConcat                          | PASS   |     0 |      0 |     0 |       1 |   6051 |     0 |     0.00 |      30 |      14 |    40/172 |
  | MultiConcatValueSize                 | PASS   |     0 |      0 |     0 |       6 |   3957 |     0 |     0.00 |      31 |      15 |     28/60 |
  | MultiIndexBind                       | PASS   |     0 |      0 |     0 |       1 |    401 |     0 |     0.00 |      31 |      17 |     10/30 |
  | MultiPartSelect                      | PASS   |     0 |      0 |     0 |       1 |    393 |     0 |     0.00 |      33 |      18 |      3/11 |
  | MultiPort                            | PASS   |     0 |      0 |     0 |       2 |    315 |     0 |     0.00 |      34 |      21 |      4/11 |
  | MultiSelect                          | PASS   |     0 |      0 |     0 |       1 |    552 |     0 |     0.00 |      32 |      15 |      6/11 |
  | NameCollisionBind                    | PASS   |     0 |      0 |     0 |       2 |    266 |     0 |     0.00 |      31 |      15 |     11/27 |
  | NamedEventHierPath                   | PASS   |     0 |      0 |     0 |       1 |    132 |     3 |     0.00 |      33 |      16 |      7/14 |
  | NegInt                               | PASS   |     0 |      0 |     0 |       1 |    241 |     0 |     0.00 |      34 |      19 |       0/0 |
  | NegParam                             | PASS   |     0 |      0 |     0 |       2 |   1109 |     2 |     0.00 |      31 |      15 |       0/0 |
  | NetLValue                            | PASS   |     0 |      0 |     0 |       1 |    325 |     0 |     0.00 |      30 |      14 |      2/10 |
  | NetType                              | PASS   |     0 |      0 |     1 |       2 |    462 |    10 |     0.00 |      31 |      17 |     13/42 |
  | NonAnsiPort                          | PASS   |     0 |      0 |     0 |       3 |    630 |     0 |     0.00 |      34 |      19 |      6/25 |
  | NonSynthError                        | PASS   |     0 |      0 |     0 |       1 |    124 |     2 |     0.00 |      32 |      15 |       0/0 |
  | NonSynthUnusedMod                    | PASS   |     0 |      0 |     0 |       0 |     46 |     0 |     0.00 |      31 |      15 |       1/6 |
  | NoParamSubs                          | PASS   |     0 |      0 |     0 |       2 |    630 |     0 |     0.00 |      33 |      17 |      7/13 |
  | NoReducTypespec                      | PASS   |     0 |      0 |     0 |       4 |   1556 |     0 |     0.00 |      31 |      15 |     12/30 |
  | NyuziProcessor                       | PASS   |     0 |      0 |     0 |      48 |     12 |    46 |    10.13 |     602 |     574 |       0/0 |
  | OldLibrary                           | PASS   |     0 |      0 |     0 |       4 |      8 |     9 |     0.00 |      31 |      15 |       0/0 |
  | OneAnd                               | PASS   |     0 |      0 |     0 |       2 |   1462 |    18 |     0.00 |      32 |      18 |      9/35 |
  | OneClock                             | PASS   |     0 |      0 |     0 |       1 |    168 |     3 |     0.00 |      33 |      17 |      2/19 |
  | OneDivider                           | PASS   |     0 |      0 |     0 |       2 |    827 |     9 |     0.24 |      51 |      40 |       0/0 |
  | OneFF                                | PASS   |     0 |      0 |     0 |       2 |   1011 |     8 |     0.00 |      31 |      14 |     11/47 |
  | OneImport                            | PASS   |     0 |      0 |     0 |       2 |    799 |     0 |     0.00 |      31 |      18 |      7/20 |
  | OneNet                               | PASS   |     0 |      0 |     0 |       1 |    175 |     0 |     0.00 |      34 |      19 |       1/3 |
  | OneNetInterf                         | PASS   |     0 |      0 |     0 |       7 |   1813 |     7 |     0.00 |      31 |      14 |     14/35 |
  | OneNetModPort                        | PASS   |     0 |      0 |     0 |       7 |   1459 |     7 |     0.00 |      31 |      15 |     21/47 |
  | OneNetModPortGeneric                 | PASS   |     0 |      0 |     0 |      10 |   1772 |    20 |     0.00 |      31 |      15 |     23/52 |
  | OneNetNonAnsi                        | PASS   |     0 |      0 |     0 |       2 |    504 |     5 |     0.00 |      34 |      19 |      9/28 |
  | OneNetRange                          | PASS   |     0 |      0 |     0 |       7 |   2751 |     7 |     0.25 |      48 |      34 |       0/0 |
  | Opentitan                            | PASS   |     0 |      0 |     1 |       7 |     51 |  7257 |    19.17 |    1472 |    1437 |       0/0 |
  | OpTypespec                           | PASS   |     0 |      0 |     0 |       2 |    743 |     0 |     0.00 |      32 |      16 |      8/21 |
  | OVMSwitch                            | PASS   |     0 |      0 |     1 |      15 |      9 |  2085 |     2.78 |     177 |     165 |       0/0 |
  | PackageBind                          | PASS   |     0 |      0 |     0 |       2 |    829 |     0 |     0.00 |      33 |      18 |      3/14 |
  | PackageConst                         | PASS   |     0 |      0 |     0 |       2 |    167 |     0 |     0.00 |      33 |      17 |       0/9 |
  | PackageDpi                           | PASS   |     0 |      0 |     1 |       1 |    439 |     2 |     0.00 |      33 |      18 |      7/27 |
  | PackageEval                          | PASS   |     0 |      0 |     0 |       1 |    470 |     0 |     0.00 |      30 |      14 |      1/14 |
  | PackageFuncCall                      | PASS   |     0 |      0 |     3 |       2 |   2815 |     3 |     0.23 |      48 |      35 |     12/42 |
  | PackageHierRef                       | PASS   |     0 |      0 |     2 |       8 |      9 |     0 |     0.00 |      31 |      14 |       0/0 |
  | PackageMemberTypespec                | PASS   |     0 |      0 |     0 |       2 |    255 |     0 |     0.00 |      33 |      17 |     18/31 |
  | PackageNet                           | PASS   |     0 |      0 |     0 |       2 |    708 |     0 |     0.00 |      31 |      15 |       0/0 |
  | PackageOrder                         | PASS   |     0 |      0 |     0 |       3 |     80 |     0 |     0.00 |      33 |      19 |      0/12 |
  | PackageParam                         | PASS   |     0 |      0 |     1 |       3 |   1991 |     0 |     0.00 |      31 |      17 |      1/37 |
  | PackageParamConst                    | PASS   |     0 |      0 |     0 |       2 |    280 |     0 |     0.00 |      32 |      15 |       2/7 |
  | PackageType                          | PASS   |     0 |      0 |     0 |       1 |   1197 |     0 |     0.00 |      31 |      15 |       0/0 |
  | PackageTypeParam                     | PASS   |     0 |      0 |     0 |       2 |    659 |     0 |     0.00 |      32 |      15 |     12/28 |
  | PackageValue                         | PASS   |     0 |      0 |     0 |       4 |   2827 |     0 |     0.00 |      31 |      14 |     17/72 |
  | PackageVar                           | PASS   |     0 |      0 |     0 |       1 |    925 |     7 |     0.23 |      45 |      30 |     15/42 |
  | PackDataType                         | PASS   |     0 |      0 |     0 |       3 |   1351 |     0 |     0.00 |      31 |      14 |      8/24 |
  | PackedArrayAssign                    | PASS   |     0 |      0 |     0 |       1 |    344 |     0 |     0.00 |      30 |       7 |       0/0 |
  | PackedArrayBind                      | PASS   |     0 |      0 |     0 |       1 |    312 |     0 |     0.00 |      31 |      15 |      8/26 |
  | PackedArrayEnum                      | PASS   |     0 |      0 |     0 |       1 |    129 |     0 |     0.00 |      32 |      16 |      2/11 |
  | PackedArrayHierPath                  | PASS   |     0 |      0 |     0 |       1 |    927 |     1 |     0.00 |      31 |      14 |       0/0 |
  | PackedArrayHighConn                  | PASS   |     0 |      0 |     0 |       3 |    711 |     0 |     0.01 |      38 |      23 |       0/0 |
  | PackedArrayStruct                    | PASS   |     0 |      0 |     0 |       1 |    281 |     0 |     0.00 |      32 |      15 |       3/7 |
  | PackedArrayTypespec                  | PASS   |     0 |      0 |     0 |       1 |    153 |     0 |     0.00 |      31 |      15 |      2/13 |
  | PackedEnum                           | PASS   |     0 |      0 |     0 |       1 |    260 |     0 |     0.00 |      34 |      18 |       0/0 |
  | PackedEnumPort                       | PASS   |     0 |      0 |     0 |       3 |    568 |     0 |     0.00 |      31 |      18 |       0/0 |
  | PackedEnumVar                        | PASS   |     0 |      0 |     0 |       1 |    531 |     0 |     0.00 |      33 |      20 |      5/19 |
  | PackedUnpackedIo                     | PASS   |     0 |      0 |     0 |       1 |   1264 |     0 |     0.00 |      32 |      15 |      8/13 |
  | PackEnumVal                          | PASS   |     0 |      0 |     0 |       2 |    525 |     0 |     0.00 |      31 |      16 |      6/18 |
  | PackFunc                             | PASS   |     0 |      0 |     0 |       2 |    555 |     0 |     0.00 |      36 |      22 |       0/0 |
  | PackFuncParent                       | PASS   |     0 |      0 |     0 |       2 |   1086 |     0 |     0.00 |      31 |      15 |      5/15 |
  | PackImport                           | PASS   |     0 |      0 |     0 |       3 |    502 |     0 |     0.00 |      32 |      16 |      1/20 |
  | PackStructField                      | PASS   |     0 |      0 |     0 |       2 |    581 |     0 |     0.00 |      31 |      15 |      8/24 |
  | PackStructVar                        | PASS   |     0 |      0 |     0 |       3 |   1946 |     0 |     0.00 |      34 |      18 |      8/47 |
  | ParamArray                           | PASS   |     0 |      0 |     0 |       4 |   2810 |     0 |     0.00 |      32 |      19 |       0/0 |
  | ParamArraySelect                     | PASS   |     0 |      0 |     0 |       4 |   4648 |     0 |     0.00 |      31 |      14 |       0/0 |
  | ParamArrayUnsizedPattern             | PASS   |     0 |      0 |     0 |       1 |    822 |     0 |     0.00 |      32 |      18 |       6/8 |
  | ParamBitSelect                       | PASS   |     0 |      0 |     0 |       6 |    467 |     0 |     0.00 |      32 |      15 |       0/0 |
  | ParamByValue                         | PASS   |     0 |      0 |     0 |       4 |   1026 |     0 |     0.00 |      31 |      14 |     11/27 |
  | ParamCast                            | PASS   |     0 |      0 |     0 |       2 |   1118 |     0 |     0.00 |      34 |      20 |      2/18 |
  | ParamComplex                         | PASS   |     0 |      0 |     0 |       3 |   1554 |     0 |     0.00 |      30 |      14 |      8/22 |
  | ParamComplexVerilator                | PASS   |     0 |      0 |     0 |       3 |   1463 |     0 |     0.00 |      31 |      15 |      8/22 |
  | ParamConcat                          | PASS   |     0 |      0 |     0 |       2 |   1238 |     0 |     0.00 |      31 |      17 |      5/19 |
  | ParamConst                           | PASS   |     0 |      0 |     0 |       4 |   1722 |     0 |     0.01 |      36 |      21 |     11/25 |
  | ParamConstPush                       | PASS   |     0 |      0 |     0 |       3 |    605 |     0 |     0.00 |      36 |      24 |      3/11 |
  | ParamElab                            | PASS   |     0 |      0 |     0 |       8 |   1130 |     0 |     0.00 |      31 |      14 |     12/20 |
  | ParamElabMulti                       | PASS   |     0 |      0 |     0 |       6 |   2815 |     0 |     0.00 |      31 |      15 |     24/61 |
  | ParamEquivOp                         | PASS   |     0 |      0 |     0 |       2 |   1126 |     0 |     0.00 |      33 |      17 |       0/0 |
  | ParamFile                            | PASS   |     0 |      0 |     0 |       2 |    483 |     0 |     0.00 |      32 |      16 |     18/30 |
  | ParamFileMacro                       | PASS   |     0 |      1 |     0 |       0 |      0 |     0 |     0.00 |      31 |      15 |       0/0 |
  | ParamFileNoTop                       | PASS   |     1 |      0 |     1 |       3 |    304 |     0 |     0.00 |      31 |      14 |     18/30 |
  | ParamFileOverr                       | PASS   |     0 |      0 |     0 |       2 |    463 |     0 |     0.00 |      31 |      14 |     18/30 |
  | ParamFromPackage                     | PASS   |     0 |      0 |     0 |       3 |    758 |     0 |     0.00 |      31 |      14 |      6/14 |
  | ParamIndex                           | PASS   |     0 |      0 |     1 |       3 |   1015 |     0 |     0.00 |      31 |      15 |     12/23 |
  | ParamInFunc                          | PASS   |     0 |      0 |     0 |       2 |    537 |     0 |     0.00 |      31 |      15 |      4/10 |
  | ParamLine                            | PASS   |     0 |      0 |     0 |      37 |   4390 |     0 |     0.00 |      31 |      15 |       0/0 |
  | ParamList                            | PASS   |     0 |      0 |     0 |       1 |    172 |     0 |     0.00 |      31 |      15 |       0/0 |
  | ParamMultiConcat                     | PASS   |     0 |      0 |     0 |       2 |    582 |     0 |     0.00 |      29 |       8 |     10/18 |
  | ParamNoDefault                       | PASS   |     0 |      0 |     1 |       2 |    420 |     0 |     0.00 |      33 |      18 |      3/18 |
  | ParamNoImport                        | PASS   |     0 |      0 |     0 |       3 |    421 |     0 |     0.00 |      34 |      19 |      2/18 |
  | ParamNoSubst                         | PASS   |     0 |      0 |     0 |       4 |    894 |     0 |     0.00 |      32 |      15 |       0/0 |
  | ParamOverload1                       | PASS   |     0 |      0 |     0 |       2 |   1018 |     0 |     0.00 |      32 |      15 |       0/0 |
  | ParamOverload2                       | PASS   |     0 |      0 |     0 |       3 |   1046 |     0 |     0.00 |      34 |      18 |      6/18 |
  | ParamOverload3                       | PASS   |     0 |      0 |     0 |       2 |   2912 |     4 |     0.00 |      31 |      14 |     24/64 |
  | ParamOverload4                       | PASS   |     0 |      0 |     0 |       1 |   5293 |     0 |     0.00 |      35 |      19 |     12/34 |
  | ParamOverloading                     | PASS   |     0 |      0 |     0 |       3 |   1345 |     0 |     0.00 |      33 |      16 |       0/0 |
  | ParamOverloadProp                    | PASS   |     0 |      0 |     0 |       2 |    258 |     0 |     0.00 |      32 |      16 |      3/10 |
  | ParamRef                             | PASS   |     0 |      0 |     0 |       5 |    674 |     0 |     0.00 |      31 |      15 |      8/27 |
  | ParamScope                           | PASS   |     0 |      0 |     0 |       5 |    760 |     0 |     0.00 |      33 |      18 |      5/25 |
  | ParamSubstituteComplex               | PASS   |     0 |      0 |     0 |       2 |   3296 |     0 |     0.00 |      32 |      16 |       0/0 |
  | ParamTypespec                        | PASS   |     0 |      0 |     0 |       2 |    734 |     0 |     0.00 |      34 |      18 |      8/16 |
  | ParamTypespec2                       | PASS   |     0 |      0 |     0 |       3 |   1256 |     0 |     0.00 |      33 |      18 |       0/0 |
  | PartSelect3                          | PASS   |     0 |      0 |     0 |       1 |    309 |     0 |     0.00 |      34 |      19 |       1/4 |
  | PartSelect4                          | PASS   |     0 |      0 |     0 |       4 |   1434 |     0 |     0.00 |      33 |      18 |       0/0 |
  | PartSelectElab                       | PASS   |     0 |      0 |     0 |       1 |    382 |     0 |     0.00 |      33 |      18 |       3/8 |
  | PartSelectHier                       | PASS   |     0 |      0 |     0 |       2 |    311 |     0 |     0.00 |      35 |      20 |      2/10 |
  | PartSelectHierPath                   | PASS   |     0 |      0 |     0 |       2 |    340 |     0 |     0.00 |      31 |      16 |      2/14 |
  | PartSelectNoParent                   | PASS   |     0 |      0 |     0 |       2 |   1268 |     0 |     0.00 |      33 |      17 |      7/19 |
  | PartSelectParent                     | PASS   |     0 |      0 |     0 |       1 |    307 |     0 |     0.00 |      32 |      15 |       3/8 |
  | PartSelectRange                      | PASS   |     0 |      0 |     0 |       5 |    586 |     0 |     0.00 |      32 |      16 |       0/0 |
  | PAssignType                          | PASS   |     0 |      0 |     0 |       4 |    891 |     0 |     0.00 |      33 |      20 |       0/0 |
  | PatAssignOp                          | PASS   |     0 |      0 |     0 |       4 |   1391 |     0 |     0.00 |      32 |      16 |       0/0 |
  | PatternAssignInteger                 | PASS   |     0 |      0 |     0 |       1 |    260 |     0 |     0.00 |      31 |      14 |       1/3 |
  | PatternAssignLogic                   | PASS   |     0 |      0 |     0 |       1 |    137 |     0 |     0.00 |      34 |      18 |       0/4 |
  | PatternAssignment                    | PASS   |     0 |      0 |     0 |       0 |    375 |     0 |     0.00 |      32 |      17 |      2/15 |
  | PatternOrder                         | PASS   |     0 |      0 |     0 |       1 |    389 |     4 |     0.00 |      34 |      19 |       0/0 |
  | PkgImportFunc                        | PASS   |     0 |      0 |     0 |       3 |    853 |     0 |     0.00 |      32 |      19 |      3/14 |
  | PkgImportPkg                         | PASS   |     0 |      0 |     0 |       3 |    295 |     1 |     0.00 |      34 |      21 |      8/17 |
  | PortByName                           | PASS   |     0 |      0 |     0 |       2 |   1118 |     0 |     0.00 |      33 |      18 |     12/20 |
  | PortComplex                          | PASS   |     0 |      0 |     0 |       4 |   1089 |     0 |     0.00 |      31 |      15 |      8/33 |
  | PortDefaultValue                     | PASS   |     0 |      0 |     0 |       2 |    185 |     0 |     0.00 |      33 |      17 |       2/8 |
  | PortExpr                             | PASS   |     0 |      0 |     0 |       1 |    187 |     1 |     0.00 |      33 |      17 |       4/6 |
  | PortInitVal                          | PASS   |     0 |      0 |     0 |       1 |    305 |     0 |     0.00 |      31 |      15 |       3/9 |
  | PortInterface                        | PASS   |     0 |      0 |     0 |       3 |    416 |     0 |     0.00 |      32 |      15 |      4/18 |
  | PortMultiDim                         | PASS   |     0 |      0 |     0 |       1 |    379 |     0 |     0.00 |      32 |      16 |       3/8 |
  | PortPackage                          | PASS   |     0 |      0 |     0 |       2 |    388 |     0 |     0.00 |      31 |      15 |      4/17 |
  | PortRanges                           | PASS   |     0 |      0 |     0 |       2 |   1764 |     0 |     0.00 |      31 |      17 |      8/17 |
  | PortWildcard                         | PASS   |     0 |      0 |     0 |       2 |   1481 |     0 |     0.00 |      33 |      16 |     12/21 |
  | PoundDelay                           | PASS   |     0 |      0 |     0 |       1 |    267 |     3 |     0.00 |      33 |      17 |       0/0 |
  | PoundDelayTask                       | PASS   |     0 |      0 |     0 |       1 |     97 |     2 |     0.00 |      31 |      15 |       1/9 |
  | PoundParam                           | PASS   |     0 |      0 |     0 |       2 |   2642 |     0 |     0.00 |      31 |      15 |       0/0 |
  | PPComment                            | PASS   |     0 |      0 |     0 |       1 |    127 |     0 |     0.00 |      31 |      14 |      7/12 |
  | PpLppdr                              | PASS   |     0 |      0 |     0 |       1 |    217 |     0 |     0.00 |      31 |      15 |     11/25 |
  | PPMacro                              | PASS   |     0 |      0 |     0 |       2 |    177 |     0 |     0.00 |      31 |      17 |       4/9 |
  | PragmaProtect                        | PASS   |     0 |      0 |     0 |       2 |      5 |     3 |     0.00 |      31 |      15 |       0/0 |
  | PreprocFunc                          | PASS   |     0 |      0 |     0 |       1 |   1686 |     0 |     0.00 |      31 |      14 |       0/0 |
  | PreprocLine                          | PASS   |     0 |      2 |     1 |       0 |      0 |     0 |     0.00 |      31 |      15 |       0/0 |
  | PreProcMacro                         | PASS   |     0 |      8 |     4 |       0 |      0 |     0 |     0.00 |      31 |      14 |       0/0 |
  | PreprocString                        | PASS   |     0 |      0 |     0 |       2 |     57 |     0 |     0.00 |      31 |      14 |       3/9 |
  | PreprocTest                          | PASS   |     0 |      0 |     0 |       2 |      4 |     0 |     0.00 |      31 |      15 |     11/25 |
  | PreprocUhdmCov                       | PASS   |     0 |      0 |     1 |       8 |   1325 |     0 |     0.00 |      31 |      15 |       0/0 |
  | PrimTermExpr                         | PASS   |     0 |      0 |     0 |       1 |    731 |     0 |     0.00 |      31 |      15 |       2/8 |
  | ProcForLoop                          | PASS   |     0 |      0 |     0 |       1 |   1121 |     0 |     0.00 |      31 |      14 |      2/11 |
  | PropBinding                          | PASS   |     0 |      0 |     0 |       1 |    374 |     6 |     0.00 |      31 |      18 |      7/18 |
  | RangeInf                             | PASS   |     0 |      0 |     0 |       1 |   1460 |     0 |     0.00 |      31 |      14 |       0/0 |
  | RangeSelect                          | PASS   |     0 |      0 |     0 |       1 |    334 |     0 |     0.00 |      32 |      16 |      4/11 |
  | ReorderPatt                          | PASS   |     0 |      0 |     0 |       1 |    342 |     0 |     0.00 |      32 |      18 |       0/0 |
  | RepeatStmt                           | PASS   |     0 |      0 |     0 |       2 |    375 |     0 |     0.00 |      32 |      16 |      6/23 |
  | ReturnVal                            | PASS   |     0 |      0 |     0 |       1 |    166 |     0 |     0.00 |      32 |      15 |       0/9 |
  | RewriteNonConstForLoopCond           | PASS   |     0 |      0 |     0 |       1 |    381 |     0 |     0.00 |      33 |      18 |      1/20 |
  | Rggen                                | PASS   |     0 |      0 |     0 |      20 |      5 |     0 |     2.91 |     453 |     442 |       0/0 |
  | RiscV                                | PASS   |     0 |      0 |     1 |       5 |     19 |     7 |     0.99 |      93 |      77 |       0/0 |
  | Rom                                  | PASS   |     0 |      0 |     0 |       1 |   1450 |     0 |     0.00 |      31 |      15 |      9/20 |
  | rp32                                 | PASS   |     0 |      0 |     0 |      20 |     76 |     0 |     1.24 |     117 |     104 |       0/0 |
  | ScalarParam                          | PASS   |     0 |      0 |     0 |       3 |    222 |     0 |     0.00 |      31 |      14 |      8/18 |
  | Scoreboard                           | PASS   |     0 |      0 |     0 |      10 |      8 |  4337 |     2.74 |     201 |     191 |       0/0 |
  | Scr1                                 | PASS   |     0 |      0 |     0 |      36 |     51 |   160 |     4.49 |     312 |     293 |       0/0 |
  | Scr1SvTests                          | PASS   |     0 |      0 |     0 |      36 |     49 |    81 |     4.76 |     296 |     278 |       0/0 |
  | SelectHierPath                       | PASS   |     0 |      0 |     0 |       1 |    219 |     0 |     0.01 |      37 |      22 |      1/16 |
  | Selects                              | PASS   |     0 |      0 |     0 |       1 |    334 |     0 |     0.00 |      31 |      15 |      6/16 |
  | SelectSelect                         | PASS   |     0 |      0 |     0 |       1 |    993 |     0 |     0.00 |      35 |      22 |       3/8 |
  | SeqDriver                            | PASS   |     0 |      0 |     0 |      10 |      8 | 10663 |     2.26 |     217 |     196 |       0/0 |
  | SequenceInst                         | PASS   |     0 |      0 |     0 |       2 |   1569 |    28 |     0.25 |      47 |      33 |       0/0 |
  | SeqUseNonTemp                        | PASS   |     0 |      0 |     2 |       1 |    568 |    22 |     0.00 |      31 |      15 |       0/0 |
  | ShiftX                               | PASS   |     0 |      0 |     0 |       1 |    181 |     0 |     0.00 |      32 |      15 |       1/7 |
  | SignedBin                            | PASS   |     0 |      0 |     0 |       2 |    189 |     0 |     0.00 |      32 |      18 |      3/10 |
  | SignedBinConst                       | PASS   |     0 |      0 |     0 |       2 |    677 |     0 |     0.00 |      31 |      16 |      5/12 |
  | SignedParam                          | PASS   |     0 |      0 |     0 |       1 |    796 |     0 |     0.00 |      33 |      18 |      4/10 |
  | SignedPort                           | PASS   |     0 |      0 |     0 |       1 |   1117 |     0 |     0.00 |      31 |      14 |       2/5 |
  | SignedPort2                          | PASS   |     0 |      0 |     0 |       1 |    259 |     0 |     0.00 |      32 |      16 |       2/6 |
  | SignedVsUnsignedPort                 | PASS   |     0 |      0 |     0 |       2 |    266 |     0 |     0.00 |      32 |      15 |      4/12 |
  | SignedWire                           | PASS   |     0 |      0 |     0 |       1 |     93 |     0 |     0.00 |      32 |      15 |       1/3 |
  | SimpleClass                          | PASS   |     0 |      0 |     0 |       2 |      6 |     2 |     0.00 |      31 |      17 |      7/21 |
  | SimpleClass1                         | PASS   |     0 |      0 |     5 |      18 |      9 |  4163 |     2.23 |     205 |     191 |       0/0 |
  | SimpleClass2                         | PASS   |     0 |      0 |     2 |       3 |      4 |     6 |     0.00 |      31 |      15 |     22/52 |
  | SimpleCmdLineTest                    | PASS   |     0 |      0 |     0 |       3 |      1 |     0 |     0.00 |      31 |      15 |       0/0 |
  | SimpleConstraint                     | PASS   |     0 |      0 |     0 |       2 |      4 |    25 |     0.26 |      47 |      33 |       0/0 |
  | SimpleIncludeAndMacros               | PASS   |     0 |      3 |    23 |      12 |     16 |     0 |     0.00 |      31 |      15 |       0/0 |
  | SimpleInterface                      | PASS   |     0 |      0 |     2 |      12 |     19 |  4125 |     2.48 |     196 |     183 |       0/0 |
  | SimpleOVM                            | PASS   |     0 |      0 |     0 |      16 |      4 |  1798 |     0.49 |      81 |      71 |       0/0 |
  | SimpleParserTest                     | PASS   |     0 |      0 |     0 |       6 |  19288 |    26 |     0.74 |      68 |      52 |       0/0 |
  | SimpleTask                           | PASS   |     0 |      0 |     0 |       2 |     20 |     8 |     0.00 |      31 |      15 |       0/0 |
  | SimpleUVM                            | PASS   |     0 |      0 |     0 |      10 |      8 |  4274 |     1.76 |     160 |     152 |       0/0 |
  | SimpleVMM                            | PASS   |     0 |      0 |     0 |      16 |      5 |  1214 |     6.70 |     225 |     210 |       0/0 |
  | SimplifyHierPath                     | PASS   |     0 |      0 |     0 |       2 |    484 |     0 |     0.00 |      31 |      15 |      6/11 |
  | Sky130Cell                           | PASS   |     0 |      0 |     4 |       9 | 107167 |     0 |     0.59 |      93 |      84 |       0/0 |
  | Sky130Udp                            | PASS   |     0 |      0 |     0 |       0 |   2874 |     6 |     0.00 |      31 |      18 |       0/0 |
  | SplitFile                            | PASS   |     0 |      0 |     0 |      30 |     27 |    15 |     0.00 |      31 |      14 |    64/332 |
  | StandardBlock                        | PASS   |     0 |      0 |     0 |       1 |    863 |     0 |     0.00 |      32 |      16 |       0/0 |
  | StandardNetVar                       | PASS   |     0 |      0 |     0 |       1 |    476 |     0 |     0.00 |      34 |      20 |       4/8 |
  | StaticTask                           | PASS   |     0 |      0 |     0 |       1 |    252 |     0 |     0.00 |      32 |      18 |      1/10 |
  | StreamingOp                          | PASS   |     0 |      0 |     0 |       1 |    274 |     0 |     0.00 |      32 |      15 |       3/5 |
  | StringConcat                         | PASS   |     0 |      0 |     0 |       1 |     98 |     0 |     0.00 |      32 |      16 |       0/3 |
  | StringMethod                         | PASS   |     0 |      0 |     0 |       1 |   1058 |     6 |     0.00 |      31 |      14 |      5/10 |
  | StringParameter                      | PASS   |     0 |      0 |     0 |       2 |    195 |     0 |     0.00 |      30 |      13 |      4/11 |
  | StringPort                           | PASS   |     0 |      0 |     0 |       1 |    839 |     0 |     0.00 |      35 |      21 |       1/6 |
  | StringRange                          | PASS   |     0 |      0 |     0 |       2 |  14068 |     0 |     0.50 |      55 |      46 |       0/0 |
  | StructAccess                         | PASS   |     0 |      0 |     0 |       1 |   1283 |     0 |     0.00 |      32 |      16 |      8/30 |
  | StructArrayNet                       | PASS   |     0 |      0 |     0 |       3 |    470 |     0 |     0.00 |      31 |      14 |       0/0 |
  | StructNetUnionTypespec               | PASS   |     0 |      0 |     0 |       2 |   1415 |     0 |     0.00 |      32 |      17 |       0/0 |
  | StructStructHierPath                 | PASS   |     0 |      0 |     0 |       2 |    903 |     0 |     0.00 |      35 |      20 |       0/0 |
  | StructTypedef                        | PASS   |     0 |      0 |     0 |       3 |   1074 |     0 |     0.00 |      31 |      14 |       0/0 |
  | StructUnsizedVal                     | PASS   |     0 |      0 |     0 |       5 |   1467 |     0 |     0.00 |      32 |      15 |      8/23 |
  | StructVar                            | PASS   |     0 |      0 |     0 |       4 |   2026 |     0 |     0.00 |      31 |      14 |     19/76 |
  | StructVarImp                         | PASS   |     0 |      0 |     0 |       2 |   1852 |     0 |     0.00 |      31 |      15 |       0/0 |
  | SurelogMacro                         | PASS   |     0 |      0 |     0 |       1 |    716 |     0 |     0.00 |      33 |      18 |       1/5 |
  | SVSwitch                             | PASS   |     0 |      0 |     0 |       1 |     85 |    83 |     0.73 |      72 |      57 |       0/0 |
  | SynthFilterDollarError               | PASS   |     0 |      0 |     2 |       0 |     41 |     0 |     0.00 |      32 |      18 |      1/10 |
  | SynthForeach                         | PASS   |     0 |      0 |     0 |       2 |   1634 |     0 |     0.00 |      33 |      18 |      8/16 |
  | SystemCall                           | PASS   |     0 |      0 |     0 |       1 |    202 |     4 |     0.00 |      34 |      19 |       2/6 |
  | TaggedParam                          | PASS   |     0 |      0 |     0 |       3 |    688 |     0 |     0.00 |      32 |      16 |      7/27 |
  | TaggedPattern                        | PASS   |     0 |      0 |     0 |       1 |   1044 |     0 |     0.00 |      31 |      15 |       0/0 |
  | TaggedPatternLogic                   | PASS   |     0 |      0 |     0 |       1 |    567 |     0 |     0.00 |      30 |      14 |      1/15 |
  | TaskBind                             | PASS   |     0 |      0 |     0 |       1 |    254 |     0 |     0.00 |      31 |      16 |       2/9 |
  | TaskDeclNoOrder                      | PASS   |     0 |      0 |     0 |       1 |    697 |     0 |     0.00 |      31 |      18 |     16/24 |
  | TaskDecls                            | PASS   |     0 |      0 |     0 |       2 |   1572 |     0 |     0.00 |      33 |      17 |       0/0 |
  | TaskDeclTypes                        | PASS   |     0 |      0 |     0 |       1 |    168 |     0 |     0.00 |      31 |      17 |       4/7 |
  | TaskProto                            | PASS   |     0 |      0 |     0 |       1 |    191 |     0 |     0.00 |      32 |      18 |       2/7 |
  | TaskProtoDef                         | PASS   |     0 |      0 |     0 |       1 |     69 |     0 |     0.00 |      31 |      15 |       4/6 |
  | Ternary                              | PASS   |     0 |      0 |     0 |       0 |   3942 |     0 |     0.00 |      31 |      14 |       0/0 |
  | TernaryAssoc                         | PASS   |     0 |      0 |     0 |       1 |    422 |     0 |     0.00 |      32 |      16 |       2/7 |
  | TestBasic                            | PASS   |     2 |      0 |     0 |       0 |      0 |     0 |     0.00 |       0 |       0 |       0/0 |
  | TestBatchMode                        | PASS   |     0 |      0 |     7 |      22 |     13 |     0 |     4.43 |     205 |     195 |       0/0 |
  | TestFileSplit                        | PASS   |     0 |      0 |     0 |      10 |      8 |     9 |     0.00 |      31 |      15 |    19/101 |
  | TestMacros                           | PASS   |     0 |      2 |     1 |       0 |      0 |     0 |     0.00 |      31 |      14 |       0/0 |
  | TestNoHash                           | PASS   |     0 |      0 |     0 |       2 |    146 |     0 |     0.00 |       7 |       5 |     10/23 |
  | TestSepComp                          | PASS   |     0 |      0 |     0 |       3 |    391 |     0 |     0.00 |       7 |       5 |     10/27 |
  | TestSepCompBadPath                   | PASS   |     0 |      0 |     0 |       8 |      5 |     0 |     0.20 |      57 |      42 |       0/0 |
  | TestSepCompNoHash                    | PASS   |     0 |      0 |     0 |       3 |    391 |     0 |     0.00 |       7 |       5 |     10/27 |
  | TfCalls                              | PASS   |     0 |      0 |     0 |       1 |    184 |     0 |     0.00 |      30 |      15 |       1/5 |
  | ThisHier                             | PASS   |     0 |      0 |     0 |       1 |    520 |     4 |     0.00 |      32 |      16 |     15/33 |
  | TimeUnit                             | PASS   |     0 |      0 |     0 |      18 |      7 |     6 |     0.00 |      31 |      15 |    48/385 |
  | Tnoc                                 | PASS   |     0 |      0 |     0 |      46 |      6 |   325 |     9.31 |    1082 |    1067 |       0/0 |
  | TNocBadType                          | PASS   |     0 |      0 |     2 |       2 |     78 |     2 |     0.00 |      31 |      14 |      2/13 |
  | TopFunc                              | PASS   |     0 |      0 |     0 |       1 |    176 |     0 |     0.00 |      30 |      13 |       1/7 |
  | TopParam                             | PASS   |     0 |      0 |     1 |       2 |    101 |     0 |     0.00 |      34 |      19 |      8/16 |
  | TranslateOff                         | PASS   |     0 |      0 |     0 |       0 |    127 |     0 |     0.01 |      37 |      22 |      3/23 |
  | TypedefAlias                         | PASS   |     0 |      0 |     0 |       3 |    362 |     0 |     0.00 |      32 |      15 |      6/20 |
  | TypeDefGenScope                      | PASS   |     0 |      0 |     0 |       1 |    165 |     0 |     0.00 |      32 |      16 |     13/24 |
  | TypedefPack                          | PASS   |     0 |      0 |     0 |       2 |    608 |     0 |     0.00 |      31 |      16 |      7/15 |
  | TypedefRange                         | PASS   |     0 |      0 |     0 |       1 |    180 |     0 |     0.00 |      35 |      24 |       1/6 |
  | TypeDefScope                         | PASS   |     0 |      0 |     0 |       2 |   1063 |     7 |     0.00 |      31 |      15 |      2/18 |
  | TypedefUnpacked                      | PASS   |     0 |      0 |     0 |       2 |    358 |     0 |     0.00 |      32 |      16 |      4/12 |
  | Typename                             | PASS   |     0 |      0 |     0 |       1 |    845 |     0 |     0.00 |      31 |      15 |       2/9 |
  | TypeParam                            | PASS   |     0 |      0 |     0 |       3 |    553 |     0 |     0.00 |      32 |      16 |     10/21 |
  | TypeParam2                           | PASS   |     0 |      0 |     0 |       2 |    310 |     0 |     0.00 |      32 |      16 |      6/20 |
  | TypeParamElab                        | PASS   |     0 |      0 |     0 |       3 |   1057 |     0 |     0.00 |      32 |      16 |     21/37 |
  | TypeParamOverride                    | PASS   |     0 |      0 |     0 |       3 |    296 |     0 |     0.00 |      33 |      16 |     11/31 |
  | TypespecBits                         | PASS   |     0 |      0 |     0 |       1 |    453 |     0 |     0.00 |      32 |      15 |       2/9 |
  | TypespecExpr                         | PASS   |     0 |      0 |     0 |       2 |    695 |     0 |     0.00 |      34 |      18 |      4/10 |
  | TypespecMask                         | PASS   |     0 |      0 |     0 |       1 |    354 |     0 |     0.00 |      31 |      18 |       1/8 |
  | Udp                                  | PASS   |     0 |      0 |     0 |       5 |   1766 |    44 |     0.00 |      31 |      16 |       0/0 |
  | UhdmCoverage                         | PASS   |     0 |      0 |     1 |      14 |   2595 |     0 |     0.24 |      51 |      38 |       0/0 |
  | UnaryPlus                            | PASS   |     0 |      0 |     0 |       1 |    311 |     0 |     0.00 |      31 |      15 |       2/4 |
  | UnboundForLoop                       | PASS   |     0 |      0 |     0 |       0 |    665 |     0 |     0.00 |      33 |      17 |       5/8 |
  | UndersVal                            | PASS   |     0 |      0 |     0 |       1 |    801 |     0 |     0.00 |      31 |      14 |      6/12 |
  | UnelabPack                           | PASS   |     0 |      0 |     0 |       2 |    169 |     0 |     0.00 |      33 |      18 |       0/0 |
  | UnionCast                            | PASS   |     0 |      0 |     0 |       2 |  11341 |     0 |     0.00 |      32 |      15 |    44/166 |
  | Unisim                               | PASS   |     0 |      0 |     0 |     179 |      0 |    21 |    50.28 |    1080 |    1056 |       0/0 |
  | UnitAmiqEth                          | PASS   |     0 |      0 |     0 |       7 |      4 | 12112 |     3.78 |     336 |     327 |       0/0 |
  | UnitClass                            | PASS   |     0 |      0 |     1 |       8 |      6 |     3 |     0.00 |      30 |      11 |     25/45 |
  | UnitConcat                           | PASS   |     0 |      0 |     0 |       1 |    104 |     0 |     0.00 |      33 |      20 |       2/8 |
  | UnitDefParam                         | PASS   |     0 |      0 |     0 |      63 |   3032 |     0 |     0.00 |      31 |      15 |       0/0 |
  | UnitElab                             | PASS   |     0 |      0 |     1 |    1213 |  51025 |     1 |     0.23 |      57 |      49 |       0/0 |
  | UnitElabBlock                        | PASS   |     0 |      0 |     0 |       3 |     14 |     6 |     0.00 |      31 |      15 |       0/0 |
  | UnitElabExternNested                 | PASS   |     0 |      0 |     0 |       8 |      8 |     2 |     0.00 |      31 |      17 |       0/0 |
  | UnitEnum                             | PASS   |     0 |      0 |     1 |       2 |    793 |     4 |     0.00 |      31 |      15 |      7/24 |
  | UnitForeach                          | PASS   |     0 |      0 |     7 |       1 |   1549 |    14 |     0.24 |      48 |      36 |     47/97 |
  | UnitForLoop                          | PASS   |     0 |      0 |     4 |       0 |    405 |     1 |     0.00 |      32 |      16 |      4/29 |
  | UnitLibrary                          | PASS   |     0 |      0 |     2 |      16 |     31 |     0 |     0.00 |      33 |      17 |     26/46 |
  | UnitPackage                          | PASS   |     0 |      0 |     0 |       6 |   4661 |     6 |     0.24 |      48 |      36 |       0/0 |
  | UnitPartSelect                       | PASS   |     0 |      0 |     0 |       4 |   2378 |     0 |     0.00 |      31 |      15 |       0/0 |
  | UnitPython                           | PASS   |     0 |      0 |     1 |       3 |      5 |     4 |     0.00 |      31 |      14 |      8/28 |
  | UnitQueue                            | PASS   |     0 |      0 |     4 |       0 |      4 |    10 |     0.00 |      32 |      16 |      6/22 |
  | UnitSimpleIncludeAndMacros           | PASS   |     0 |      3 |    23 |      12 |      6 |     0 |     0.00 |      31 |      14 |       0/0 |
  | UnitSVA                              | PASS   |     0 |      0 |     0 |       1 |   1253 |    26 |     0.00 |      31 |      16 |       0/0 |
  | UnitTest                             | PASS   |     0 |      0 |    12 |       4 |   1941 |    16 |     0.00 |      31 |      16 |     11/67 |
  | UnitThisNew                          | PASS   |     0 |      0 |     2 |       1 |   2456 |    18 |     0.23 |      46 |      31 |     16/44 |
  | UnpackedTypespec                     | PASS   |     0 |      0 |     0 |       1 |    458 |     0 |     0.00 |      34 |      19 |      6/23 |
  | UnpackPort                           | PASS   |     0 |      0 |     0 |       1 |   2315 |     0 |     0.00 |      31 |      15 |      6/11 |
  | UnsignedParam                        | PASS   |     0 |      0 |     0 |       2 |    564 |     0 |     0.00 |      31 |      18 |      7/13 |
  | UnsizeConstExpr                      | PASS   |     0 |      0 |     0 |       1 |    231 |     0 |     0.00 |      32 |      18 |       1/7 |
  | Unsized                              | PASS   |     0 |      0 |     0 |       1 |    340 |     0 |     0.00 |      33 |      18 |       4/6 |
  | UnsizedArray                         | PASS   |     0 |      0 |     0 |       1 |     95 |     0 |     0.00 |      33 |      17 |       1/4 |
  | UnsizedConstInst                     | PASS   |     0 |      0 |     0 |       2 |    533 |     0 |     0.00 |      30 |      11 |       0/0 |
  | UnsizedElabComp                      | PASS   |     0 |      0 |     0 |       2 |    438 |     0 |     0.00 |      30 |      14 |     12/23 |
  | UnsizedFunc                          | PASS   |     0 |      0 |     0 |       2 |    591 |     0 |     0.00 |      31 |      18 |      7/19 |
  | UnsizedPacked                        | PASS   |     0 |      0 |     1 |       1 |    147 |     2 |     0.00 |      31 |      15 |       2/7 |
  | UnsizedParam                         | PASS   |     0 |      0 |     0 |       2 |    618 |     0 |     0.00 |      31 |      15 |      4/11 |
  | UnsupportedTypespecRange             | PASS   |     0 |      0 |     0 |       1 |    156 |     0 |     0.00 |      30 |      13 |      2/11 |
  | UtdSV                                | PASS   |     0 |      3 |    18 |    3269 |      0 |     0 |     8.37 |     230 |     219 |       0/0 |
  | UVMNestedSeq                         | PASS   |     0 |      0 |     0 |      14 |      8 | 29968 |     4.76 |     437 |     425 |       0/0 |
  | UVMSwitch                            | PASS   |     0 |      0 |     2 |      10 |     81 |  4397 |     3.74 |     236 |     225 |       0/0 |
  | Value4States                         | PASS   |     0 |      0 |     0 |       1 |    252 |     0 |     0.00 |      32 |      18 |       2/4 |
  | Values                               | PASS   |     0 |      0 |     0 |       1 |   1116 |     0 |     0.00 |      31 |      18 |       5/7 |
  | ValueSize                            | PASS   |     0 |      0 |     0 |       1 |    376 |     0 |     0.00 |      32 |      18 |      1/12 |
  | VarDecl                              | PASS   |     0 |      0 |     0 |       1 |    286 |     0 |     0.00 |      33 |      20 |       4/7 |
  | VarDecl2                             | PASS   |     0 |      0 |     0 |       1 |    363 |     0 |     0.00 |      34 |      18 |      6/11 |
  | VarInFunc                            | PASS   |     0 |      0 |     0 |       1 |   1148 |     0 |     0.00 |      33 |      18 |       5/9 |
  | VarRangeTypedef                      | PASS   |     0 |      0 |     0 |       1 |    150 |     0 |     0.00 |      33 |      17 |       1/6 |
  | VarSelect                            | PASS   |     0 |      0 |     0 |       1 |   1175 |     0 |     0.00 |      31 |      15 |      3/14 |
  | VarSelectGenStmt                     | PASS   |     0 |      0 |     0 |       2 |   1638 |     0 |     0.00 |      33 |      18 |     16/23 |
  | VarType                              | PASS   |     0 |      0 |     0 |       1 |    127 |     8 |     0.00 |      31 |      15 |       3/5 |
  | Verilator                            | PASS   |     0 |    136 |   154 |      43 |      0 |     0 |    19.91 |     694 |     684 |       0/0 |
  | VirtualClass                         | PASS   |     0 |      0 |     0 |       1 |    477 |     1 |     0.00 |      30 |      13 |      5/15 |
  | VoidFuncReturn                       | PASS   |     0 |      0 |     1 |       1 |    268 |     2 |     0.00 |      33 |      18 |      1/11 |
  | Wand                                 | PASS   |     0 |      0 |     0 |       1 |    221 |     0 |     0.00 |      33 |      18 |      3/10 |
  | WildConn                             | PASS   |     0 |      0 |     0 |       2 |   1039 |     0 |     0.00 |      30 |      16 |      9/17 |
  | WireLogicSize                        | PASS   |     0 |      0 |     0 |       1 |    504 |     0 |     0.00 |      34 |      21 |     18/23 |
  | WireUnpacked                         | PASS   |     0 |      0 |     0 |       1 |    240 |     0 |     0.00 |      35 |      20 |       3/4 |
  | Xgate                                | PASS   |     0 |      0 |     0 |       0 |     88 |     2 |    21.57 |    1533 |    1410 |       0/0 |
  | XValue                               | PASS   |     0 |      0 |     0 |       1 |    324 |     0 |     0.00 |      33 |      17 |       1/8 |
  | Yosys                                | PASS   |     0 |     14 |     3 |     230 |      0 |     0 |     4.10 |     198 |     188 |       0/0 |
  | YosysBigSimAes                       | PASS   |     0 |      0 |     0 |       0 |      5 |     5 |     0.24 |      60 |      45 |       0/0 |
  | YosysBigSimAmber23                   | PASS   |     0 |      0 |     0 |      17 |     22 |     6 |     2.56 |     182 |     167 |       0/0 |
  | YosysBigSimEllip                     | PASS   |     0 |      0 |     0 |      30 |     20 |     0 |     0.99 |      84 |      68 |       0/0 |
  | YosysBigSimLm32                      | PASS   |     0 |      0 |     0 |      19 |      7 |     4 |     3.20 |     214 |     198 |       0/0 |
  | YosysBigSimOpenMsp                   | PASS   |     0 |      0 |     0 |      20 |     16 |     9 |     2.75 |     181 |     162 |       0/0 |
  | YosysBigSimPong                      | PASS   |     0 |      0 |     0 |       6 |      5 |     8 |     0.49 |     128 |     110 |       0/0 |
  | YosysBigSimReed                      | PASS   |     0 |      0 |     0 |      13 |     10 |    11 |     1.01 |     108 |      89 |       0/0 |
  | YosysBigSimSoft                      | PASS   |     0 |      0 |     0 |       2 |      6 |     9 |     0.50 |      73 |      56 |       0/0 |
  | YosysCam                             | PASS   |     0 |      0 |     0 |       0 |      7 |     0 |     0.75 |      81 |      69 |       0/0 |
  | YosysDsp                             | PASS   |     0 |      0 |     6 |      20 |     20 |     1 |     2.13 |     182 |     172 |       0/0 |
  | YosysEth                             | PASS   |     0 |      0 |    19 |       4 |      5 |     0 |     0.00 |      31 |      14 |       0/0 |
  | YosysIce40                           | PASS   |     0 |      0 |     0 |      49 |    108 |     7 |     7.23 |     349 |     330 |       0/0 |
  | YosysMarlann                         | PASS   |     0 |      0 |     0 |       2 |      7 |     0 |     0.50 |      58 |      43 |       0/0 |
  | YosysOldAes                          | PASS   |     0 |      0 |     0 |       0 |      8 |    97 |     0.25 |      58 |      46 |       0/0 |
  | YosysOldI2c                          | PASS   |     0 |      0 |     0 |       0 |      8 |   232 |     0.51 |      56 |      45 |       0/0 |
  | YosysOldOpen                         | PASS   |     0 |      0 |     0 |      20 |     28 |     0 |     2.67 |     182 |     162 |       0/0 |
  | YosysOldOr                           | PASS   |     0 |      0 |     0 |       0 |    117 |     0 |     4.33 |     641 |     605 |       0/0 |
  | YosysOldSasc                         | PASS   |     0 |      0 |     0 |       4 |      9 |    15 |     0.50 |      65 |      49 |       0/0 |
  | YosysOldSimpleSpi                    | PASS   |     0 |      0 |     0 |       0 |      6 |    66 |     0.25 |      48 |      35 |       0/0 |
  | YosysOldSpi                          | PASS   |     0 |      0 |     0 |       3 |      7 |    51 |     0.51 |      68 |      55 |       0/0 |
  | YosysOldSsPcm                        | PASS   |     0 |      0 |     0 |       0 |      6 |    25 |     0.25 |      46 |      34 |       0/0 |
  | YosysOldSystem                       | PASS   |     0 |      0 |     0 |       0 |    109 |     0 |     0.25 |      61 |      48 |       0/0 |
  | YosysOldUsb                          | PASS   |     0 |      0 |     0 |       0 |      7 |     0 |     0.50 |      59 |      44 |       0/0 |
  | YosysOpenSparc                       | PASS   |     0 |      0 |     0 |       0 |     64 |     0 |    25.43 |    3936 |    3696 |       0/0 |
  | YosysRiscv                           | PASS   |     0 |      0 |     0 |       9 |     15 |     0 |     0.46 |      62 |      46 |       0/0 |
  | YosysSmall                           | PASS   |     0 |      0 |     1 |       6 |      9 |     4 |     0.25 |      52 |      38 |       0/0 |
  | YosysSmallBoom                       | PASS   |     0 |      0 |     0 |     291 |    296 |   667 |    11.14 |    2922 |    2575 |       0/0 |
  | YosysTests                           | PASS   |     0 |    229 |    40 |    2370 |      0 |     0 |    12.41 |     912 |     896 |       0/0 |
  | YosysTestSuite                       | PASS   |     0 |    100 |     8 |     216 |      0 |     0 |     2.36 |     197 |     189 |       0/0 |
  | YosysVerx                            | PASS   |     0 |      0 |     0 |       3 |      8 |     0 |     2.20 |     185 |     167 |       0/0 |
  | Zachjs                               | PASS   |     0 |     39 |    16 |     128 |      0 |     0 |     2.26 |     121 |     108 |       0/0 |
  +--------------------------------------+--------+-------+--------+-------+---------+--------+-------+----------+---------+---------+-----------+






Summary:
  +----------------+-----------------------+
  | PASS           | 751                   |
  | DIFF           | 0                     |
  | FAIL           | 0                     |
  | FAILDUMP       | 0                     |
  | SEGFLT         | 0                     |
  | NOGOLD         | 0                     |
  | TOOLFAIL       | 0                     |
  | EXECERR        | 0                     |
  |                |                       |
  | MAX CPU TIME   | 148.7 (Google)        |
  | TOTAL CPU TIME | 755.27                |
  | MAX WALL TIME  | 152 (Google)          |
  | MAX MEMORY     | 3696 (YosysOpenSparc) |
  +----------------+-----------------------+



Surelog Regression Test Completed @ 2025-02-27 18:54:14.377155 in 345 seconds

I then try python3 scripts/regression.py update again, and now the diff makes more sense (althouth is looks kinda noisy):

git diff
diff --git a/tests/DashYTest/DashYTest.log b/tests/DashYTest/DashYTest.log
index 8fbb10c4..26e09b5b 100644
--- a/tests/DashYTest/DashYTest.log
+++ b/tests/DashYTest/DashYTest.log
@@ -43,22 +43,6 @@ n<> u<11> t<Top_level_rule> c<1> l<1:1> el<3:1>
 AST_DEBUG_END
 AST_DEBUG_BEGIN
 LIB:  work
-FILE: ${SURELOG_DIR}/tests/DashYTest/lib/OR.v
-n<> u<0> t<_INVALID_> f<0> l<0:0>
-n<> u<1> t<Null_rule> p<11> s<10> l<1:1> el<1:0>
-n<module> u<2> t<Module_keyword> p<6> s<3> l<1:1> el<1:7>
-n<OR> u<3> t<StringConst> p<6> s<5> l<1:8> el<1:10>
-n<> u<4> t<Port> p<5> l<1:11> el<1:11>
-n<> u<5> t<List_of_ports> p<6> c<4> l<1:10> el<1:12>
-n<> u<6> t<Module_nonansi_header> p<8> c<2> s<7> l<1:1> el<1:13>
-n<> u<7> t<ENDMODULE> p<8> l<2:1> el<2:10>
-n<> u<8> t<Module_declaration> p<9> c<6> l<1:1> el<2:10>
-n<> u<9> t<Description> p<10> c<8> l<1:1> el<2:10>
-n<> u<10> t<Source_text> p<11> c<9> l<1:1> el<2:10>
-n<> u<11> t<Top_level_rule> c<1> l<1:1> el<3:1>
-AST_DEBUG_END
-AST_DEBUG_BEGIN
-LIB:  work
 FILE: ${SURELOG_DIR}/tests/DashYTest/lib/SIM.v
 n<> u<0> t<_INVALID_> f<0> l<0:0>
 n<> u<1> t<Null_rule> p<29> s<28> l<1:1> el<1:0>
@@ -91,6 +75,22 @@ n<> u<27> t<Description> p<28> c<26> l<1:1> el<6:10>
 n<> u<28> t<Source_text> p<29> c<27> l<1:1> el<6:10>
 n<> u<29> t<Top_level_rule> c<1> l<1:1> el<7:1>
 AST_DEBUG_END
+AST_DEBUG_BEGIN
+LIB:  work
+FILE: ${SURELOG_DIR}/tests/DashYTest/lib/OR.v
+n<> u<0> t<_INVALID_> f<0> l<0:0>
+n<> u<1> t<Null_rule> p<11> s<10> l<1:1> el<1:0>
+n<module> u<2> t<Module_keyword> p<6> s<3> l<1:1> el<1:7>
+n<OR> u<3> t<StringConst> p<6> s<5> l<1:8> el<1:10>
+n<> u<4> t<Port> p<5> l<1:11> el<1:11>
+n<> u<5> t<List_of_ports> p<6> c<4> l<1:10> el<1:12>
+n<> u<6> t<Module_nonansi_header> p<8> c<2> s<7> l<1:1> el<1:13>
+n<> u<7> t<ENDMODULE> p<8> l<2:1> el<2:10>
+n<> u<8> t<Module_declaration> p<9> c<6> l<1:1> el<2:10>
+n<> u<9> t<Description> p<10> c<8> l<1:1> el<2:10>
+n<> u<10> t<Source_text> p<11> c<9> l<1:1> el<2:10>
+n<> u<11> t<Top_level_rule> c<1> l<1:1> el<3:1>
+AST_DEBUG_END
 [INF:CP0300] Compilation...
 [INF:CP0303] ${SURELOG_DIR}/tests/DashYTest/lib/AND.v:1:1: Compile module "work@AND".
 [INF:CP0303] ${SURELOG_DIR}/tests/DashYTest/lib/OR.v:1:1: Compile module "work@OR".
diff --git a/tests/LibraryIntercon/LibraryIntercon.log b/tests/LibraryIntercon/LibraryIntercon.log
index 696ff212..beb44dd8 100644
--- a/tests/LibraryIntercon/LibraryIntercon.log
+++ b/tests/LibraryIntercon/LibraryIntercon.log
@@ -11,8 +11,8 @@ LIB: realLib
 
 LIB: logicLib
      ${SURELOG_DIR}/tests/LibraryIntercon/driver.sv
-     ${SURELOG_DIR}/tests/LibraryIntercon/cmp.sv
      ${SURELOG_DIR}/tests/LibraryIntercon/top.sv
+     ${SURELOG_DIR}/tests/LibraryIntercon/cmp.sv
 
 
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/LibraryIntercon/nets.pkg".
@@ -20,17 +20,17 @@ LIB: logicLib
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/LibraryIntercon/driver.svr".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/LibraryIntercon/driver.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/LibraryIntercon/cmp.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/LibraryIntercon/top.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/LibraryIntercon/cmp.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/LibraryIntercon/nets.pkg".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/LibraryIntercon/driver.svr".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/LibraryIntercon/driver.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/LibraryIntercon/cmp.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/LibraryIntercon/top.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/LibraryIntercon/cmp.sv".
 [WRN:PA0205] ${SURELOG_DIR}/tests/LibraryIntercon/nets.pkg:1:1: No timescale set for "NetsPkg".
-[WRN:PA0205] ${SURELOG_DIR}/tests/LibraryIntercon/cmp.sv:2:1: No timescale set for "cmp".
 [WRN:PA0205] ${SURELOG_DIR}/tests/LibraryIntercon/top.sv:1:1: No timescale set for "top".
+[WRN:PA0205] ${SURELOG_DIR}/tests/LibraryIntercon/cmp.sv:2:1: No timescale set for "cmp".
 [INF:CP0300] Compilation...
 [INF:CP0301] ${SURELOG_DIR}/tests/LibraryIntercon/nets.pkg:1:1: Compile package "NetsPkg".
 [INF:CP0303] ${SURELOG_DIR}/tests/LibraryIntercon/cmp.sv:2:1: Compile module "logicLib@cmp".
diff --git a/tests/NonSynthUnusedMod/NonSynthUnusedMod.log b/tests/NonSynthUnusedMod/NonSynthUnusedMod.log
index 39a27646..bae83811 100644
--- a/tests/NonSynthUnusedMod/NonSynthUnusedMod.log
+++ b/tests/NonSynthUnusedMod/NonSynthUnusedMod.log
@@ -27,22 +27,6 @@ n<> u<21> t<Top_level_rule> c<1> l<1:1> el<8:1>
 AST_DEBUG_END
 AST_DEBUG_BEGIN
 LIB:  work
-FILE: ${SURELOG_DIR}/tests/NonSynthUnusedMod/top.v
-n<> u<0> t<_INVALID_> f<0> l<0:0>
-n<> u<1> t<Null_rule> p<11> s<10> l<1:1> el<1:0>
-n<module> u<2> t<Module_keyword> p<6> s<3> l<1:1> el<1:7>
-n<top> u<3> t<StringConst> p<6> s<5> l<1:8> el<1:11>
-n<> u<4> t<Port> p<5> l<1:12> el<1:12>
-n<> u<5> t<List_of_ports> p<6> c<4> l<1:11> el<1:13>
-n<> u<6> t<Module_nonansi_header> p<8> c<2> s<7> l<1:1> el<1:14>
-n<> u<7> t<ENDMODULE> p<8> l<3:1> el<3:10>
-n<> u<8> t<Module_declaration> p<9> c<6> l<1:1> el<3:10>
-n<> u<9> t<Description> p<10> c<8> l<1:1> el<3:10>
-n<> u<10> t<Source_text> p<11> c<9> l<1:1> el<3:10>
-n<> u<11> t<Top_level_rule> c<1> l<1:1> el<4:1>
-AST_DEBUG_END
-AST_DEBUG_BEGIN
-LIB:  work
 FILE: ${SURELOG_DIR}/tests/NonSynthUnusedMod/nonsynth.v
 n<> u<0> t<_INVALID_> f<0> l<0:0>
 n<> u<1> t<Null_rule> p<38> s<37> l<1:1> el<1:0>
@@ -84,6 +68,22 @@ n<> u<36> t<Description> p<37> c<35> l<1:1> el<7:10>
 n<> u<37> t<Source_text> p<38> c<36> l<1:1> el<7:10>
 n<> u<38> t<Top_level_rule> c<1> l<1:1> el<8:1>
 AST_DEBUG_END
+AST_DEBUG_BEGIN
+LIB:  work
+FILE: ${SURELOG_DIR}/tests/NonSynthUnusedMod/top.v
+n<> u<0> t<_INVALID_> f<0> l<0:0>
+n<> u<1> t<Null_rule> p<11> s<10> l<1:1> el<1:0>
+n<module> u<2> t<Module_keyword> p<6> s<3> l<1:1> el<1:7>
+n<top> u<3> t<StringConst> p<6> s<5> l<1:8> el<1:11>
+n<> u<4> t<Port> p<5> l<1:12> el<1:12>
+n<> u<5> t<List_of_ports> p<6> c<4> l<1:11> el<1:13>
+n<> u<6> t<Module_nonansi_header> p<8> c<2> s<7> l<1:1> el<1:14>
+n<> u<7> t<ENDMODULE> p<8> l<3:1> el<3:10>
+n<> u<8> t<Module_declaration> p<9> c<6> l<1:1> el<3:10>
+n<> u<9> t<Description> p<10> c<8> l<1:1> el<3:10>
+n<> u<10> t<Source_text> p<11> c<9> l<1:1> el<3:10>
+n<> u<11> t<Top_level_rule> c<1> l<1:1> el<4:1>
+AST_DEBUG_END
 [INF:CP0300] Compilation...
 [INF:CP0303] ${SURELOG_DIR}/tests/NonSynthUnusedMod/dut.sv:1:1: Compile module "work@dut".
 [INF:CP0303] ${SURELOG_DIR}/tests/NonSynthUnusedMod/nonsynth.v:1:1: Compile module "work@nonsynth".
diff --git a/tests/OldLibrary/OldLibrary.log b/tests/OldLibrary/OldLibrary.log
index 90fc14dc..85fb4c7e 100644
--- a/tests/OldLibrary/OldLibrary.log
+++ b/tests/OldLibrary/OldLibrary.log
@@ -1,16 +1,16 @@
 [INF:CM0023] Creating log file "${SURELOG_DIR}/build/regression/OldLibrary/slpp_all/surelog.log".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/OldLibrary/top.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/OldLibrary/lib/CELL1.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/OldLibrary/lib/CELL3.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/OldLibrary/lib/CELL1.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/OldLibrary/top.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/OldLibrary/lib/CELL1.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/OldLibrary/lib/CELL3.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/OldLibrary/lib/CELL1.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v".
 [WRN:PA0205] ${SURELOG_DIR}/tests/OldLibrary/top.v:1:1: No timescale set for "top".
-[WRN:PA0205] ${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v:1:1: No timescale set for "CELL2".
-[WRN:PA0205] ${SURELOG_DIR}/tests/OldLibrary/lib/CELL1.v:1:1: No timescale set for "CELL1".
 [WRN:PA0205] ${SURELOG_DIR}/tests/OldLibrary/lib/CELL3.v:1:1: No timescale set for "CELL3".
+[WRN:PA0205] ${SURELOG_DIR}/tests/OldLibrary/lib/CELL1.v:1:1: No timescale set for "CELL1".
+[WRN:PA0205] ${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v:1:1: No timescale set for "CELL2".
 [INF:CP0300] Compilation...
 [INF:CP0303] ${SURELOG_DIR}/tests/OldLibrary/lib/CELL1.v:1:1: Compile module "work@CELL1".
 [INF:CP0305] ${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v:1:1: Compile udp "work@CELL2".
diff --git a/tests/PreprocLine/PreprocLine.log b/tests/PreprocLine/PreprocLine.log
index 860f4d72..3741bf9d 100644
--- a/tests/PreprocLine/PreprocLine.log
+++ b/tests/PreprocLine/PreprocLine.log
@@ -13,38 +13,38 @@ n<top> u<3> t<StringConst> p<4> l<1:8> el<1:11>
 n<> u<4> t<Module_ansi_header> p<66> c<2> s<64> l<1:1> el<1:12>
 n<> u<5> t<Dollar_keyword> p<17> s<6> l<3:9> el<3:10>
 n<display> u<6> t<StringConst> p<17> s<16> l<3:10> el<3:17>
-n<"${SURELOG_DIR}/tests/PreprocLine/dut.sv"> u<7> t<StringLiteral> p<8> l<3:18> el<3:64>
-n<> u<8> t<Primary_literal> p<9> c<7> l<3:18> el<3:64>
-n<> u<9> t<Primary> p<10> c<8> l<3:18> el<3:64>
-n<> u<10> t<Expression> p<16> c<9> s<15> l<3:18> el<3:64>
-n<3> u<11> t<IntConst> p<12> l<3:66> el<3:67>
-n<> u<12> t<Primary_literal> p<13> c<11> l<3:66> el<3:67>
-n<> u<13> t<Primary> p<14> c<12> l<3:66> el<3:67>
-n<> u<14> t<Expression> p<15> c<13> l<3:66> el<3:67>
-n<> u<15> t<Argument> p<16> c<14> l<3:66> el<3:67>
-n<> u<16> t<List_of_arguments> p<17> c<10> l<3:18> el<3:67>
-n<> u<17> t<Subroutine_call> p<18> c<5> l<3:9> el<3:68>
-n<> u<18> t<Subroutine_call_statement> p<19> c<17> l<3:9> el<3:69>
-n<> u<19> t<Statement_item> p<20> c<18> l<3:9> el<3:69>
-n<> u<20> t<Statement> p<21> c<19> l<3:9> el<3:69>
-n<> u<21> t<Statement_or_null> p<57> c<20> s<38> l<3:9> el<3:69>
+n<"${SURELOG_DIR}/tests/PreprocLine/dut.sv"> u<7> t<StringLiteral> p<8> l<3:18> el<3:74>
+n<> u<8> t<Primary_literal> p<9> c<7> l<3:18> el<3:74>
+n<> u<9> t<Primary> p<10> c<8> l<3:18> el<3:74>
+n<> u<10> t<Expression> p<16> c<9> s<15> l<3:18> el<3:74>
+n<3> u<11> t<IntConst> p<12> l<3:76> el<3:77>
+n<> u<12> t<Primary_literal> p<13> c<11> l<3:76> el<3:77>
+n<> u<13> t<Primary> p<14> c<12> l<3:76> el<3:77>
+n<> u<14> t<Expression> p<15> c<13> l<3:76> el<3:77>
+n<> u<15> t<Argument> p<16> c<14> l<3:76> el<3:77>
+n<> u<16> t<List_of_arguments> p<17> c<10> l<3:18> el<3:77>
+n<> u<17> t<Subroutine_call> p<18> c<5> l<3:9> el<3:78>
+n<> u<18> t<Subroutine_call_statement> p<19> c<17> l<3:9> el<3:79>
+n<> u<19> t<Statement_item> p<20> c<18> l<3:9> el<3:79>
+n<> u<20> t<Statement> p<21> c<19> l<3:9> el<3:79>
+n<> u<21> t<Statement_or_null> p<57> c<20> s<38> l<3:9> el<3:79>
 n<> u<22> t<Dollar_keyword> p<34> s<23> l<5:9> el<5:10>
 n<display> u<23> t<StringConst> p<34> s<33> l<5:10> el<5:17>
-n<"${SURELOG_DIR}/tests/PreprocLine/fake.v"> u<24> t<StringLiteral> p<25> l<5:18> el<5:64>
-n<> u<25> t<Primary_literal> p<26> c<24> l<5:18> el<5:64>
-n<> u<26> t<Primary> p<27> c<25> l<5:18> el<5:64>
-n<> u<27> t<Expression> p<33> c<26> s<32> l<5:18> el<5:64>
-n<102> u<28> t<IntConst> p<29> l<5:66> el<5:69>
-n<> u<29> t<Primary_literal> p<30> c<28> l<5:66> el<5:69>
-n<> u<30> t<Primary> p<31> c<29> l<5:66> el<5:69>
-n<> u<31> t<Expression> p<32> c<30> l<5:66> el<5:69>
-n<> u<32> t<Argument> p<33> c<31> l<5:66> el<5:69>
-n<> u<33> t<List_of_arguments> p<34> c<27> l<5:18> el<5:69>
-n<> u<34> t<Subroutine_call> p<35> c<22> l<5:9> el<5:70>
-n<> u<35> t<Subroutine_call_statement> p<36> c<34> l<5:9> el<5:71>
-n<> u<36> t<Statement_item> p<37> c<35> l<5:9> el<5:71>
-n<> u<37> t<Statement> p<38> c<36> l<5:9> el<5:71>
-n<> u<38> t<Statement_or_null> p<57> c<37> s<55> l<5:9> el<5:71>
+n<"${SURELOG_DIR}/tests/PreprocLine/fake.v"> u<24> t<StringLiteral> p<25> l<5:18> el<5:74>
+n<> u<25> t<Primary_literal> p<26> c<24> l<5:18> el<5:74>
+n<> u<26> t<Primary> p<27> c<25> l<5:18> el<5:74>
+n<> u<27> t<Expression> p<33> c<26> s<32> l<5:18> el<5:74>
+n<102> u<28> t<IntConst> p<29> l<5:76> el<5:79>
+n<> u<29> t<Primary_literal> p<30> c<28> l<5:76> el<5:79>
+n<> u<30> t<Primary> p<31> c<29> l<5:76> el<5:79>
+n<> u<31> t<Expression> p<32> c<30> l<5:76> el<5:79>
+n<> u<32> t<Argument> p<33> c<31> l<5:76> el<5:79>
+n<> u<33> t<List_of_arguments> p<34> c<27> l<5:18> el<5:79>
+n<> u<34> t<Subroutine_call> p<35> c<22> l<5:9> el<5:80>
+n<> u<35> t<Subroutine_call_statement> p<36> c<34> l<5:9> el<5:81>
+n<> u<36> t<Statement_item> p<37> c<35> l<5:9> el<5:81>
+n<> u<37> t<Statement> p<38> c<36> l<5:9> el<5:81>
+n<> u<38> t<Statement_or_null> p<57> c<37> s<55> l<5:9> el<5:81>
 n<> u<39> t<Dollar_keyword> p<51> s<40> f<0> l<10:9> el<10:10>
 n<display> u<40> t<StringConst> p<51> s<50> f<0> l<10:10> el<10:17>
 n<""> u<41> t<StringLiteral> p<42> f<0> l<10:18> el<10:20>
diff --git a/tests/TestSepComp/TestSepComp.log b/tests/TestSepComp/TestSepComp.log
index 54b465dd..93b1ed8a 100644
--- a/tests/TestSepComp/TestSepComp.log
+++ b/tests/TestSepComp/TestSepComp.log
@@ -20,12 +20,12 @@
 [WARNING] : 1
 [   NOTE] : 0
 [INF:CM0023] Creating log file "${SURELOG_DIR}/tests/TestSepComp/slpp_all/surelog.log".
+PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepComp/top.sv
 PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepComp/pkg1.sv
 PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepComp/pkg2.sv
-PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepComp/top.sv
+[WRN:PA0205] ${SURELOG_DIR}/tests/TestSepComp/top.sv:1:1: No timescale set for "top".
 [WRN:PA0205] ${SURELOG_DIR}/tests/TestSepComp/pkg1.sv:1:1: No timescale set for "pkg1".
 [WRN:PA0205] ${SURELOG_DIR}/tests/TestSepComp/pkg2.sv:1:1: No timescale set for "pkg2".
-[WRN:PA0205] ${SURELOG_DIR}/tests/TestSepComp/top.sv:1:1: No timescale set for "top".
 [INF:CP0300] Compilation...
 [INF:CP0301] ${SURELOG_DIR}/tests/TestSepComp/pkg1.sv:1:1: Compile package "pkg1".
 [INF:CP0301] ${SURELOG_DIR}/tests/TestSepComp/pkg2.sv:1:1: Compile package "pkg2".
diff --git a/tests/TestSepComp/badpath/TestSepCompBadPath.log b/tests/TestSepComp/badpath/TestSepCompBadPath.log
index 5b90118b..92298ff6 100644
--- a/tests/TestSepComp/badpath/TestSepCompBadPath.log
+++ b/tests/TestSepComp/badpath/TestSepCompBadPath.log
@@ -22,19 +22,19 @@
 [WARNING] : 3
 [   NOTE] : 0
 [INF:CM0023] Creating log file "${SURELOG_DIR}/tests/TestSepComp/badpath/slpp_all/surelog.log".
-PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepComp/pkg1.sv
-PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepComp/pkg2.sv
 PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepComp/badpath/badtop.sv
 PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/EvalFunc/dut.sv
-[WRN:PA0205] ${SURELOG_DIR}/tests/TestSepComp/pkg1.sv:1:1: No timescale set for "pkg1".
-[WRN:PA0205] ${SURELOG_DIR}/tests/TestSepComp/pkg2.sv:1:1: No timescale set for "pkg2".
+PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepComp/pkg1.sv
+PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepComp/pkg2.sv
 [WRN:PA0205] ${SURELOG_DIR}/tests/TestSepComp/badpath/badtop.sv:1:1: No timescale set for "badtop".
 [WRN:PA0205] ${SURELOG_DIR}/tests/EvalFunc/dut.sv:1:1: No timescale set for "prim_util_pkg".
 [WRN:PA0205] ${SURELOG_DIR}/tests/EvalFunc/dut.sv:22:1: No timescale set for "top".
+[WRN:PA0205] ${SURELOG_DIR}/tests/TestSepComp/pkg1.sv:1:1: No timescale set for "pkg1".
+[WRN:PA0205] ${SURELOG_DIR}/tests/TestSepComp/pkg2.sv:1:1: No timescale set for "pkg2".
 [INF:CP0300] Compilation...
+[INF:CP0301] ${SURELOG_DIR}/tests/EvalFunc/dut.sv:1:1: Compile package "prim_util_pkg".
 [INF:CP0301] ${SURELOG_DIR}/tests/TestSepComp/pkg1.sv:1:1: Compile package "pkg1".
 [INF:CP0301] ${SURELOG_DIR}/tests/TestSepComp/pkg2.sv:1:1: Compile package "pkg2".
-[INF:CP0301] ${SURELOG_DIR}/tests/EvalFunc/dut.sv:1:1: Compile package "prim_util_pkg".
 [INF:CP0303] ${SURELOG_DIR}/tests/TestSepComp/badpath/badtop.sv:1:1: Compile module "work@badtop".
 [INF:CP0303] ${SURELOG_DIR}/tests/EvalFunc/dut.sv:22:1: Compile module "work@top".
 [INF:CP0302] Compile class "work@mailbox".
diff --git a/tests/TestSepCompNoHash/TestSepCompNoHash.log b/tests/TestSepCompNoHash/TestSepCompNoHash.log
index 0361ce30..9f41c247 100644
--- a/tests/TestSepCompNoHash/TestSepCompNoHash.log
+++ b/tests/TestSepCompNoHash/TestSepCompNoHash.log
@@ -20,15 +20,15 @@
 [WARNING] : 1
 [   NOTE] : 0
 [INF:CM0023] Creating log file "${SURELOG_DIR}/tests/TestSepCompNoHash/slpp_all/surelog.log".
-PP CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepCompNoHash/top.sv
 PP CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg1.sv
 PP CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg2.sv
-PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepCompNoHash/top.sv
+PP CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepCompNoHash/top.sv
 PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg1.sv
 PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg2.sv
-[WRN:PA0205] ${SURELOG_DIR}/tests/TestSepCompNoHash/top.sv:1:1: No timescale set for "top".
+PARSER CACHE USED FOR: ${SURELOG_DIR}/tests/TestSepCompNoHash/top.sv
 [WRN:PA0205] ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg1.sv:1:1: No timescale set for "pkg1".
 [WRN:PA0205] ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg2.sv:1:1: No timescale set for "pkg2".
+[WRN:PA0205] ${SURELOG_DIR}/tests/TestSepCompNoHash/top.sv:1:1: No timescale set for "top".
 [INF:CP0300] Compilation...
 [INF:CP0301] ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg1.sv:1:1: Compile package "pkg1".
 [INF:CP0301] ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg2.sv:1:1: Compile package "pkg2".
diff --git a/tests/UnitLibrary/UnitLibrary.log b/tests/UnitLibrary/UnitLibrary.log
index 3bdfec55..1c59387a 100644
--- a/tests/UnitLibrary/UnitLibrary.log
+++ b/tests/UnitLibrary/UnitLibrary.log
@@ -22,8 +22,8 @@ LIB: lib1
      ${SURELOG_DIR}/tests/UnitLibrary/lib1/bot.sv
 
 LIB: lib2
-     ${SURELOG_DIR}/tests/UnitLibrary/lib2/sub.v
      ${SURELOG_DIR}/tests/UnitLibrary/lib2/bot.sv
+     ${SURELOG_DIR}/tests/UnitLibrary/lib2/sub.v
 
 LIB: lib3
      ${SURELOG_DIR}/tests/UnitLibrary/lib3/sub.v
@@ -49,8 +49,8 @@ LIB: libw
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/UnitLibrary/rtl/adder.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/UnitLibrary/gate/adder.vg".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/UnitLibrary/lib1/bot.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/UnitLibrary/lib2/sub.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/UnitLibrary/lib2/bot.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/UnitLibrary/lib2/sub.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/UnitLibrary/lib3/sub.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/UnitLibrary/libwconfig/libw1/wsub.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/tests/UnitLibrary/libwconfig/libw2/wsub.v".
@@ -61,8 +61,8 @@ LIB: libw
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/UnitLibrary/rtl/adder.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/UnitLibrary/gate/adder.vg".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/UnitLibrary/lib1/bot.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/UnitLibrary/lib2/sub.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/UnitLibrary/lib2/bot.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/UnitLibrary/lib2/sub.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/UnitLibrary/lib3/sub.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/UnitLibrary/libwconfig/libw1/wsub.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/tests/UnitLibrary/libwconfig/libw2/wsub.v".
diff --git a/third_party/UHDM b/third_party/UHDM
--- a/third_party/UHDM
+++ b/third_party/UHDM
@@ -1 +1 @@
-Subproject commit 7d90dd0e68759775d0c86885d991925096b5b496
+Subproject commit 7d90dd0e68759775d0c86885d991925096b5b496-dirty
diff --git a/third_party/tests/CoresSweRVMP/CoresSweRVMP.log b/third_party/tests/CoresSweRVMP/CoresSweRVMP.log
index b3dabfd7..7a54c4f2 100644
--- a/third_party/tests/CoresSweRVMP/CoresSweRVMP.log
+++ b/third_party/tests/CoresSweRVMP/CoresSweRVMP.log
@@ -1,11 +1,22 @@
 [INF:CM0023] Creating log file "${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/surelog.log".
 [WRN:CM0010] Command line argument "-Wno-UNOPTFLAT" ignored.
-Running: cd ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_parser; cmake -G "Unix Makefiles" .; make -j 16
--- Configuring done
--- Generating done
+Running: cd ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_parser; cmake -G "Unix Makefiles" .; make -j 28
+�[0mCMake Deprecation Warning at CMakeLists.txt:1 (cmake_minimum_required):
+  Compatibility with CMake < 3.10 will be removed from a future version of
+  CMake.
+
+  Update the VERSION argument <min> value.  Or, use the <min>...<max> syntax
+  to tell CMake that the project requires at least <min> but has been updated
+  to work with policies introduced by <max> or earlier.
+
+�[0m
+-- Configuring done (0.0s)
+-- Generating done (0.0s)
 -- Build files have been written to: ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_parser
-[100%] Generating preprocessing
+make[1]: Entering directory '${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_parser'
+[100%] �[34m�[1mGenerating preprocessing�[0m
 [100%] Built target Parse
+make[1]: Leaving directory '${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_parser'
 Surelog preproc status: 0
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/UVM/1800.2-2017-1.0/src/uvm_pkg.sv".
 PP CACHE USED FOR: ${SURELOG_DIR}/third_party/UVM/1800.2-2017-1.0/src/uvm_pkg.sv
@@ -60,27 +71,50 @@ PP CACHE USED FOR: ${SURELOG_DIR}/third_party/UVM/1800.2-2017-1.0/src/uvm_pkg.sv
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/CoresSweRVMP/design/lib/mem_lib.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/CoresSweRVMP/design/lib/ahb_to_axi4.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/CoresSweRVMP/design/lib/axi4_to_ahb.sv".
-Running: cd ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_preprocess; cmake -G "Unix Makefiles" .; make -j 16
--- Configuring done
--- Generating done
+Running: cd ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_preprocess; cmake -G "Unix Makefiles" .; make -j 28
+�[0mCMake Deprecation Warning at CMakeLists.txt:1 (cmake_minimum_required):
+  Compatibility with CMake < 3.10 will be removed from a future version of
+  CMake.
+
+  Update the VERSION argument <min> value.  Or, use the <min>...<max> syntax
+  to tell CMake that the project requires at least <min> but has been updated
+  to work with policies introduced by <max> or earlier.
+
+�[0m
+-- Configuring done (0.0s)
+-- Generating done (0.0s)
 -- Build files have been written to: ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_preprocess
-[  6%] Generating 10_lsu_bus_intf.sv
-[ 12%] Generating 11_ifu_bp_ctl.sv
-[ 18%] Generating 12_beh_lib.sv
-[ 25%] Generating 13_ifu_mem_ctl.sv
-[ 31%] Generating 14_mem_lib.sv
-[ 37%] Generating 15_exu.sv
-[ 43%] Generating 16_dec_decode_ctl.sv
-[ 50%] Generating 1_lsu_stbuf.sv
-[ 56%] Generating 2_ahb_to_axi4.sv
-[ 62%] Generating 3_rvjtag_tap.sv
-[ 68%] Generating 5_lsu_bus_buffer.sv
-[ 75%] Generating 4_dec_tlu_ctl.sv
-[ 81%] Generating 6_dbg.sv
-[ 87%] Generating 7_axi4_to_ahb.sv
-[100%] Generating 8_ifu_aln_ctl.sv
-[100%] Generating 9_tb_top.sv
+make[1]: Entering directory '${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_preprocess'
+[  3%] �[34m�[1mGenerating 15_ahb_sif.sv�[0m
+[  7%] �[34m�[1mGenerating 12_ifu_ic_mem.sv�[0m
+[ 10%] �[34m�[1mGenerating 18_dec_ib_ctl.sv�[0m
+[ 14%] �[34m�[1mGenerating 16_dec_decode_ctl.sv�[0m
+[ 21%] �[34m�[1mGenerating 11_ifu_bp_ctl.sv�[0m
+[ 21%] �[34m�[1mGenerating 14_lsu_dccm_ctl.sv�[0m
+[ 25%] �[34m�[1mGenerating 17_lsu_bus_buffer.sv�[0m
+[ 28%] �[34m�[1mGenerating 13_ifu_mem_ctl.sv�[0m
+[ 32%] �[34m�[1mGenerating 19_dec_tlu_ctl.sv�[0m
+[ 35%] �[34m�[1mGenerating 1_lsu_lsc_ctl.sv�[0m
+[ 39%] �[34m�[1mGenerating 20_lsu_stbuf.sv�[0m
+[ 42%] �[34m�[1mGenerating 10_rvjtag_tap.sv�[0m
+[ 46%] �[34m�[1mGenerating 21_dec.sv�[0m
+[ 53%] �[34m�[1mGenerating 24_axi4_to_ahb.sv�[0m
+[ 53%] �[34m�[1mGenerating 25_exu.sv�[0m
+[ 57%] �[34m�[1mGenerating 22_lsu_dccm_mem.sv�[0m
+[ 60%] �[34m�[1mGenerating 23_mem_lib.sv�[0m
+[ 64%] �[34m�[1mGenerating 27_beh_lib.sv�[0m
+[ 75%] �[34m�[1mGenerating 2_ahb_to_axi4.sv�[0m
+[ 75%] �[34m�[1mGenerating 4_lsu_bus_intf.sv�[0m
+[ 75%] �[34m�[1mGenerating 28_tb_top.sv�[0m
+[ 78%] �[34m�[1mGenerating 3_swerv_wrapper.sv�[0m
+[ 82%] �[34m�[1mGenerating 26_lsu.sv�[0m
+[ 85%] �[34m�[1mGenerating 7_dma_ctrl.sv�[0m
+[ 89%] �[34m�[1mGenerating 5_pic_ctrl.sv�[0m
+[ 96%] �[34m�[1mGenerating 8_ifu_aln_ctl.sv�[0m
+[ 92%] �[34m�[1mGenerating 6_swerv.sv�[0m
+[100%] �[34m�[1mGenerating 9_dbg.sv�[0m
 [100%] Built target Parse
+make[1]: Leaving directory '${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_preprocess'
 Surelog parsing status: 0
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/UVM/1800.2-2017-1.0/src/uvm_pkg.sv".
 PARSER CACHE USED FOR: ${SURELOG_DIR}/third_party/UVM/1800.2-2017-1.0/src/uvm_pkg.sv
diff --git a/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log b/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log
index e206b4d4..d945dbc7 100644
--- a/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log
+++ b/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log
@@ -14499,7 +14499,7 @@ var_select                                         16611
 [   NOTE] : 111
 
 ============================== Begin Linting Results ==============================
-[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dv_macros_0/dv_macros.svh:476:248: Non synthesizable construct, name
+[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dv_macros_0/dv_macros.svh:476:258: Non synthesizable construct, name
 [LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:25:12: Non synthesizable construct,
 [LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:25:12: Non synthesizable construct,
 [LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:28:35: Non synthesizable construct,
diff --git a/third_party/tests/NyuziProcessor/NyuziProcessor.log b/third_party/tests/NyuziProcessor/NyuziProcessor.log
index e08fe09e..7fcd459c 100644
--- a/third_party/tests/NyuziProcessor/NyuziProcessor.log
+++ b/third_party/tests/NyuziProcessor/NyuziProcessor.log
@@ -9,66 +9,66 @@
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/trace_logger.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_ps2.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_sdram.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/scoreboard.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_axi_bus_interface.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_store_queue.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/nyuzi.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/synchronizer.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/control_registers.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage5.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/thread_select_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/core.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/instruction_decode_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/writeback_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_store_queue.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/reciprocal_rom.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage3.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/jtag_tap_controller.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_pending_miss_cam.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/oh_to_idx.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sync_fifo.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sram_2r1w.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_arb_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/int_execute_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/performance_counters.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_update_stage.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_l2_interface.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/ifetch_tag_stage.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/reciprocal_rom.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/tlb.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage2.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/core.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/thread_select_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/rr_arbiter.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/io_request_queue.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/dcache_data_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage2.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_axi_bus_interface.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/nyuzi.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/cam.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_read_stage.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/rr_arbiter.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/synchronizer.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage1.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage4.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/dcache_tag_stage.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage3.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sram_2r1w.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_arb_stage.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/operand_fetch_stage.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_load_miss_queue.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sram_1r1w.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_tag_stage.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/instruction_decode_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/ifetch_tag_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/scoreboard.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_pending_miss_cam.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage5.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_load_miss_queue.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/oh_to_idx.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage1.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/ifetch_data_stage.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/io_request_queue.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/performance_counters.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/on_chip_debugger.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/writeback_stage.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_update_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/cache_lru.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/io_interconnect.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sync_fifo.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage4.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/tlb.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/dcache_tag_stage.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/on_chip_debugger.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/operand_fetch_stage.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/idx_to_oh.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/cache_lru.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/int_execute_stage.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/async_fifo.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/gpio_controller.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_sram.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/spi_controller.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/logic_analyzer.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_async_bridge.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/vga_sequencer.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/vga_controller.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/uart_receive.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_interconnect.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_rom.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/uart.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/timer.sv".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/ps2_controller.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/uart_transmit.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/logic_analyzer.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/uart_receive.sv".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/sdram_controller.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/vga_controller.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/async_fifo.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/ps2_controller.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/vga_sequencer.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_interconnect.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_sram.sv".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/gpio_controller.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/axi_protocol_checker.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_jtag.sv".
@@ -76,66 +76,66 @@
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/trace_logger.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_ps2.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_sdram.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/scoreboard.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_axi_bus_interface.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_store_queue.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/nyuzi.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/synchronizer.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/control_registers.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage5.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/thread_select_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/core.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/instruction_decode_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/writeback_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_store_queue.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/reciprocal_rom.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage3.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/jtag_tap_controller.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_pending_miss_cam.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/oh_to_idx.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sync_fifo.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sram_2r1w.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_arb_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/int_execute_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/performance_counters.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_update_stage.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_l2_interface.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/ifetch_tag_stage.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/reciprocal_rom.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/tlb.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage2.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/core.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/thread_select_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/rr_arbiter.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/io_request_queue.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/dcache_data_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage2.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_axi_bus_interface.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/nyuzi.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/cam.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_read_stage.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/rr_arbiter.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/synchronizer.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage1.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage4.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/dcache_tag_stage.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage3.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sram_2r1w.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_arb_stage.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/operand_fetch_stage.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_load_miss_queue.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sram_1r1w.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_tag_stage.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/instruction_decode_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/ifetch_tag_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/scoreboard.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_pending_miss_cam.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage5.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_load_miss_queue.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/oh_to_idx.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage1.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/ifetch_data_stage.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/io_request_queue.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/performance_counters.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/on_chip_debugger.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/writeback_stage.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_update_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/cache_lru.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/io_interconnect.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sync_fifo.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage4.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/tlb.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/dcache_tag_stage.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/on_chip_debugger.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/operand_fetch_stage.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/idx_to_oh.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/cache_lru.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/int_execute_stage.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/async_fifo.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/gpio_controller.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_sram.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/spi_controller.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/logic_analyzer.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_async_bridge.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/vga_sequencer.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/vga_controller.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/uart_receive.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_interconnect.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_rom.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/uart.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/timer.sv".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/ps2_controller.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/uart_transmit.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/logic_analyzer.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/uart_receive.sv".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/sdram_controller.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/vga_controller.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/async_fifo.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/ps2_controller.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/vga_sequencer.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_interconnect.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_sram.sv".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/gpio_controller.sv".
 [INF:CM0029] Using global timescale: "10ps/10ps".
 [INF:CP0300] Compilation...
 [INF:CP0301] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/defines.svh:22:1: Compile package "defines".
@@ -265,97 +265,97 @@
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
              ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/trace_logger.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/scoreboard.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/control_registers.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_axi_bus_interface.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/thread_select_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_store_queue.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/core.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/nyuzi.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/instruction_decode_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/control_registers.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/writeback_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage5.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_store_queue.sv:22:1: previous definition.
+[WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage3.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
              ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/jtag_tap_controller.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_pending_miss_cam.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sync_fifo.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_l2_interface.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_arb_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/ifetch_tag_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/int_execute_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/tlb.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_update_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage2.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_l2_interface.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/core.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/thread_select_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/io_request_queue.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
              ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/dcache_data_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/cam.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage2.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_read_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_axi_bus_interface.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage1.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/nyuzi.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage4.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/cam.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_read_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/dcache_tag_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sram_1r1w.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage3.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_tag_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_arb_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/ifetch_tag_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/operand_fetch_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/scoreboard.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_load_miss_queue.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_pending_miss_cam.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sram_1r1w.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage5.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_tag_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l1_load_miss_queue.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/instruction_decode_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage1.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
              ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/ifetch_data_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/io_request_queue.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/cache_lru.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/on_chip_debugger.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/io_interconnect.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/writeback_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/fp_execute_stage4.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/l2_cache_update_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/tlb.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/io_interconnect.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/dcache_tag_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/sync_fifo.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/on_chip_debugger.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/cache_lru.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/operand_fetch_stage.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/int_execute_stage.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/spi_controller.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/async_fifo.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_rom.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/gpio_controller.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/sdram_controller.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_sram.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/vga_controller.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/spi_controller.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/async_fifo.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/vga_controller.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/ps2_controller.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
              ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_interconnect.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_rom.sv:22:1: previous definition.
-[WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/ps2_controller.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/axi_sram.sv:22:1: previous definition.
 [WRN:CP0329] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:22:1: Multiply defined package: "defines",
-             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/sdram_controller.sv:22:1: previous definition.
+             ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/gpio_controller.sv:22:1: previous definition.
 [NTE:CP0309] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/async_fifo.sv:37:29: Implicit port type (wire) for "read_data".
 [NTE:CP0309] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/core/dcache_tag_stage.sv:77:49: Implicit port type (wire) for "dt_update_itlb_asid".
 [NTE:CP0309] ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/fpga/common/gpio_controller.sv:35:31: Implicit port type (wire) for "gpio_value".
diff --git a/third_party/tests/ariane/Ariane.log b/third_party/tests/ariane/Ariane.log
index 155b7e33..67ca0f51 100644
--- a/third_party/tests/ariane/Ariane.log
+++ b/third_party/tests/ariane/Ariane.log
@@ -1,3 +1,4 @@
+make[1]: Entering directory '${SURELOG_DIR}/third_party/tests/ariane'
 Makefile:139: XCELIUM_HOME not set which is necessary for compiling DPIs when using XCELIUM
 [Verilator] Building Model
 ${SURELOG_DIR}/build/bin/surelog -DVERILATOR=1 -sverilog -parse -d coveruhdm -verbose -timescale=1ps/1ps ${SURELOG_DIR}/third_party/tests/ariane/include/riscv_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/src/riscv-dbg/src/dm_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/include/ariane_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/include/std_cache_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/include/wt_cache_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi/src/axi_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/src/register_interface/src/reg_intf.sv ${SURELOG_DIR}/third_party/tests/ariane/src/register_interface/src/reg_intf_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/include/axi_intf.sv ${SURELOG_DIR}/third_party/tests/ariane/tb/ariane_soc_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/tb/ariane_axi_soc_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/include/ariane_axi_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_pkg.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv ${SURELOG_DIR}/third_party/tests/ariane/src/alu.sv ${SURELOG_DIR}/third_party/tests/ariane/src/amo_buffer.sv ${SURELOG_DIR}/third_party/tests/ariane/src/ariane_regfile_ff.sv ${SURELOG_DIR}/third_party/tests/ariane/src/ariane.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_adapter.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_shim.sv ${SURELOG_DIR}/third_party/tests/ariane/src/branch_unit.sv ${SURELOG_DIR}/third_party/tests/ariane/src/commit_stage.sv ${SURELOG_DIR}/third_party/tests/ariane/src/compressed_decoder.sv ${SURELOG_DIR}/third_party/tests/ariane/src/controller.sv ${SURELOG_DIR}/third_party/tests/ariane/src/csr_buffer.sv ${SURELOG_DIR}/third_party/tests/ariane/src/csr_regfile.sv ${SURELOG_DIR}/third_party/tests/ariane/src/decoder.sv ${SURELOG_DIR}/third_party/tests/ariane/src/dromajo_ram.sv ${SURELOG_DIR}/third_party/tests/ariane/src/ex_stage.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu_wrap.sv ${SURELOG_DIR}/third_party/tests/ariane/src/id_stage.sv ${SURELOG_DIR}/third_party/tests/ariane/src/instr_realign.sv ${SURELOG_DIR}/third_party/tests/ariane/src/issue_read_operands.sv ${SURELOG_DIR}/third_party/tests/ariane/src/issue_stage.sv ${SURELOG_DIR}/third_party/tests/ariane/src/load_store_unit.sv ${SURELOG_DIR}/third_party/tests/ariane/src/load_unit.sv ${SURELOG_DIR}/third_party/tests/ariane/src/mmu.sv ${SURELOG_DIR}/third_party/tests/ariane/src/multiplier.sv ${SURELOG_DIR}/third_party/tests/ariane/src/mult.sv ${SURELOG_DIR}/third_party/tests/ariane/src/perf_counters.sv ${SURELOG_DIR}/third_party/tests/ariane/src/ptw.sv ${SURELOG_DIR}/third_party/tests/ariane/src/re_name.sv ${SURELOG_DIR}/third_party/tests/ariane/src/scoreboard.sv ${SURELOG_DIR}/third_party/tests/ariane/src/serdiv.sv ${SURELOG_DIR}/third_party/tests/ariane/src/store_buffer.sv ${SURELOG_DIR}/third_party/tests/ariane/src/store_unit.sv ${SURELOG_DIR}/third_party/tests/ariane/src/tlb.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_cast_multi.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_classifier.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_divsqrt_multi.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_fma_multi.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_fma.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_noncomp.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_block.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_fmt_slice.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_opgroup_multifmt_slice.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_rounding.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpnew_top.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpu_div_sqrt_mvp/hdl/div_sqrt_mvp_wrapper.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpu/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv ${SURELOG_DIR}/third_party/tests/ariane/src/frontend/bht.sv ${SURELOG_DIR}/third_party/tests/ariane/src/frontend/btb.sv ${SURELOG_DIR}/third_party/tests/ariane/src/frontend/frontend.sv ${SURELOG_DIR}/third_party/tests/ariane/src/frontend/instr_queue.sv ${SURELOG_DIR}/third_party/tests/ariane/src/frontend/instr_scan.sv ${SURELOG_DIR}/third_party/tests/ariane/src/frontend/ras.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/amo_alu.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/cache_ctrl.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/cva6_icache_axi_wrapper.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/cva6_icache.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/miss_handler.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/std_cache_subsystem.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/std_icache.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/std_nbdcache.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/tag_cmp.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/wt_axi_adapter.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/wt_cache_subsystem.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/wt_dcache_ctrl.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/wt_dcache_mem.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/wt_dcache_missunit.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/wt_dcache.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/wt_dcache_wbuffer.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/wt_icache.sv ${SURELOG_DIR}/third_party/tests/ariane/src/cache_subsystem/wt_l15_adapter.sv ${SURELOG_DIR}/third_party/tests/ariane/bootrom/bootrom.sv ${SURELOG_DIR}/third_party/tests/ariane/src/clint/axi_lite_interface.sv ${SURELOG_DIR}/third_party/tests/ariane/src/clint/clint.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi2apb/src/axi2apb_64_32.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi2apb/src/axi2apb.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi2apb/src/axi2apb_wrap.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/apb_timer/apb_timer.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/apb_timer/timer.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi_slice/src/axi_ar_buffer.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi_slice/src/axi_aw_buffer.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi_slice/src/axi_b_buffer.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi_slice/src/axi_r_buffer.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi_slice/src/axi_single_slice.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi_slice/src/axi_slice.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi_slice/src/axi_slice_wrap.sv ${SURELOG_DIR}/third_party/tests/ariane/fpga/src/axi_slice/src/axi_w_buffer.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/apb_regs_top.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_address_decoder_AR.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_address_decoder_AW.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_address_decoder_BR.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_address_decoder_BW.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_address_decoder_DW.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_AR_allocator.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_AW_allocator.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_BR_allocator.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_BW_allocator.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_DW_allocator.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_multiplexer.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_node_arbiter.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_node_intf_wrap.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_node.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_node_wrap_with_slices.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_regs_top.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_request_block.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_node/src/axi_response_block.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_riscv_atomics/src/axi_res_tbl.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_riscv_atomics/src/axi_riscv_amos_alu.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_riscv_atomics/src/axi_riscv_amos.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_riscv_atomics/src/axi_riscv_atomics.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_riscv_atomics/src/axi_riscv_atomics_wrap.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_riscv_atomics/src/axi_riscv_lrsc.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_riscv_atomics/src/axi_riscv_lrsc_wrap.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi_mem_if/src/axi2mem.sv ${SURELOG_DIR}/third_party/tests/ariane/src/pmp/src/pmp_entry.sv ${SURELOG_DIR}/third_party/tests/ariane/src/pmp/src/pmp.sv ${SURELOG_DIR}/third_party/tests/ariane/src/rv_plic/rtl/rv_plic_target.sv ${SURELOG_DIR}/third_party/tests/ariane/src/rv_plic/rtl/rv_plic_gateway.sv ${SURELOG_DIR}/third_party/tests/ariane/src/rv_plic/rtl/plic_regmap.sv ${SURELOG_DIR}/third_party/tests/ariane/src/rv_plic/rtl/plic_top.sv ${SURELOG_DIR}/third_party/tests/ariane/src/riscv-dbg/src/dmi_cdc.sv ${SURELOG_DIR}/third_party/tests/ariane/src/riscv-dbg/src/dmi_jtag.sv ${SURELOG_DIR}/third_party/tests/ariane/src/riscv-dbg/src/dmi_jtag_tap.sv ${SURELOG_DIR}/third_party/tests/ariane/src/riscv-dbg/src/dm_csrs.sv ${SURELOG_DIR}/third_party/tests/ariane/src/riscv-dbg/src/dm_mem.sv ${SURELOG_DIR}/third_party/tests/ariane/src/riscv-dbg/src/dm_sba.sv ${SURELOG_DIR}/third_party/tests/ariane/src/riscv-dbg/src/dm_top.sv ${SURELOG_DIR}/third_party/tests/ariane/src/riscv-dbg/debug_rom/debug_rom.sv ${SURELOG_DIR}/third_party/tests/ariane/src/register_interface/src/apb_to_reg.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi/src/axi_multicut.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/deprecated/generic_fifo.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/deprecated/pulp_sync.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/deprecated/find_first_one.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/rstgen_bypass.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/rstgen.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/stream_mux.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/stream_demux.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/exp_backoff.sv ${SURELOG_DIR}/third_party/tests/ariane/src/util/axi_master_connect.sv ${SURELOG_DIR}/third_party/tests/ariane/src/util/axi_slave_connect.sv ${SURELOG_DIR}/third_party/tests/ariane/src/util/axi_master_connect_rev.sv ${SURELOG_DIR}/third_party/tests/ariane/src/util/axi_slave_connect_rev.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi/src/axi_cut.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi/src/axi_join.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi/src/axi_delayer.sv ${SURELOG_DIR}/third_party/tests/ariane/src/axi/src/axi_to_axi_lite.sv ${SURELOG_DIR}/third_party/tests/ariane/src/fpga-support/rtl/SyncSpRamBeNx64.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/unread.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/sync.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/cdc_2phase.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/spill_register.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/sync_wedge.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/edge_detect.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/stream_arbiter.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/stream_arbiter_flushable.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/deprecated/fifo_v1.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/deprecated/fifo_v2.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/fifo_v3.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lzc.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/popcount.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/rr_arb_tree.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/deprecated/rrarbiter.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/stream_delay.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lfsr_8bit.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/lfsr_16bit.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/delta_counter.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/counter.sv ${SURELOG_DIR}/third_party/tests/ariane/src/common_cells/src/shift_reg.sv ${SURELOG_DIR}/third_party/tests/ariane/src/tech_cells_generic/src/pulp_clock_gating.sv ${SURELOG_DIR}/third_party/tests/ariane/src/tech_cells_generic/src/cluster_clock_inverter.sv ${SURELOG_DIR}/third_party/tests/ariane/src/tech_cells_generic/src/pulp_clock_mux2.sv ${SURELOG_DIR}/third_party/tests/ariane/tb/ariane_testharness.sv ${SURELOG_DIR}/third_party/tests/ariane/tb/ariane_peripherals.sv ${SURELOG_DIR}/third_party/tests/ariane/tb/common/uart.sv ${SURELOG_DIR}/third_party/tests/ariane/tb/common/SimDTM.sv ${SURELOG_DIR}/third_party/tests/ariane/tb/common/SimJTAG.sv +define+WT_DCACHE src/util/sram.sv tb/common/mock_uart.sv +incdir+src/axi_node  --unroll-count 256 -Werror-PINMISSING -Werror-IMPLICIT -Wno-fatal -Wno-PINCONNECTEMPTY -Wno-ASSIGNDLY -Wno-DECLFILENAME -Wno-UNUSED -Wno-UNOPTFLAT -Wno-BLKANDNBLK -Wno-style    -LDFLAGS "-Lblah/lib -Lblah/lib -Wl,-rpath,blah/lib -Wl,-rpath,blah/lib -lfesvr  -lpthread" -CFLAGS "  -DVL_DEBUG" -Wall --cc  --vpi  +incdir+src/common_cells/include/ --top-module ariane_testharness --Mdir work-ver -O3 --exe tb/ariane_tb.cpp tb/dpi/SimDTM.cc tb/dpi/SimJTAG.cc tb/dpi/remote_bitbang.cc tb/dpi/msim_helper.cc 
@@ -12723,8 +12724,9 @@ there are 1 more instances of this message.
 [WARNING] : 19
 [   NOTE] : 55
 cd work-ver && make -j -f Variane_testharness.mk
-make[1]: Entering directory '${SURELOG_DIR}/third_party/tests/ariane/work-ver'
-make[1]: Variane_testharness.mk: No such file or directory
-make[1]: *** No rule to make target 'Variane_testharness.mk'.  Stop.
-make[1]: Leaving directory '${SURELOG_DIR}/third_party/tests/ariane/work-ver'
-make: *** [Makefile:634: verilate] Error 2
+make[2]: Entering directory '${SURELOG_DIR}/third_party/tests/ariane/work-ver'
+make[2]: Variane_testharness.mk: No such file or directory
+make[2]: *** No rule to make target 'Variane_testharness.mk'.  Stop.
+make[2]: Leaving directory '${SURELOG_DIR}/third_party/tests/ariane/work-ver'
+make[1]: *** [Makefile:634: verilate] Error 2
+make[1]: Leaving directory '${SURELOG_DIR}/third_party/tests/ariane'
diff --git a/third_party/tests/oh/BasicOh.log b/third_party/tests/oh/BasicOh.log
index 3e84f37b..386ac8b3 100644
--- a/third_party/tests/oh/BasicOh.log
+++ b/third_party/tests/oh/BasicOh.log
@@ -1,282 +1,282 @@
 [INF:CM0023] Creating log file "${SURELOG_DIR}/build/regression/BasicOh/slpp_all/surelog.log".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fifo_async.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffrqn.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and3.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fifo_sync.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa42.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao221.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mx2.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux7.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mult.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffqn.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_datagate.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa31.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao22.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor4.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux12.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai311.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oddr.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_debouncer.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_edge2pulse.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_arbiter.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_isobuflo.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_rsync.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor3.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffsqn.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_abs.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_isobufhi.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_tristate.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao222.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or2.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai21.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_latnq.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and4.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor4.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockgate.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pulse2pulse.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux2.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux9.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux3.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and3.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa311.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi211.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux2.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor2.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffq.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bitreverse.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux4.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_standby.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bin2onehot.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockor.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_stretcher.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai311.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oddr.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xor4.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffrq.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mxi2.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa211.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_header.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_memory_dp.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffrq.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or3.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao32.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi21.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nand4.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao211.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa222.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffnq.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi221.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa21.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_shift.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fifo_cdc.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_reg1.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mx2.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai32.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mx3.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_add.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_inv.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao33.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockdiv.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux6.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fall2pulse.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffq.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_buffer.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai22.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi33.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or4.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_iddr.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux4.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_delay.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_abs.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa221.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa92.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffrqn.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor3.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xor3.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi222.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mxi3.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffqn.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_edgealign.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux5.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bin2gray.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dsync.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffq.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa33.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa211.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mxi4.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor2.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xor2.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao31.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_rise2pulse.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_lat1.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi221.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_iddr.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor2.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao33.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nand4.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bin2onehot.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nand3.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa42.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao22.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_add.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pulse2pulse.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mult.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao32.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mx4.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dsync.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa221.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffsq.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ser2par.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffrq.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pwr_buf.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockgate.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai31.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_memory_sp.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_rise2pulse.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_latq.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_lat0.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa62.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi311.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_memory_dp.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux6.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux12.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bitreverse.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao21.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_gray2bin.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux8.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffsq.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nand3.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffrqn.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa33.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and2.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_memory_sp.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xor2.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao31.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa222.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai33.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_datagate.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao222.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa62.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_inv.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_rsync.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockor.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai22.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ser2par.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pll.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_buf.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fifo_cdc.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fall2pulse.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux2.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_reg1.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi32.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux3.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_par2ser.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi31.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_parity.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux4.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux9.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_shift.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi22.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pll.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux8.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai33.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_regfile.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi33.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_standby.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or3.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_edge2pulse.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffrq.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa22.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao311.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pwr_buf.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_gray2bin.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi311.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao21.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai31.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai221.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa311.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao221.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor3.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockdiv.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_par2ser.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa21.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mx3.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffsqn.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffnq.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao211.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or2.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa32.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_latq.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_isobuflo.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa32.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mxi3.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_header.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_regfile.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_buffer.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_lat1.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi21.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffrqn.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi31.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffq.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_counter.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_7seg_decode.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux7.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_latnq.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi222.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and2.v".
 [INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai222.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor3.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffsqn.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa32.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_parity.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa92.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffqn.v".
-[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffsqn.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor4.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_tristate.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fifo_sync.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_delay.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mxi2.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffsq.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_stretcher.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai21.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or4.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_7seg_decode.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and4.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor4.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffqn.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bin2gray.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_arbiter.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai221.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao311.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xor4.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux2.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor2.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa31.v".
+[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux4.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fifo_async.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffrqn.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and3.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fifo_sync.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa42.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao221.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mx2.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux7.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mult.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffqn.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_datagate.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa31.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao22.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor4.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux12.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai311.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oddr.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_debouncer.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_edge2pulse.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_arbiter.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_isobuflo.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_rsync.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor3.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffsqn.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_abs.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_isobufhi.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_tristate.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao222.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or2.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai21.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_latnq.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and4.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor4.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockgate.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pulse2pulse.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux2.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux9.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux3.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and3.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa311.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi211.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux2.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor2.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffq.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bitreverse.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux4.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_standby.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bin2onehot.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockor.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_stretcher.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai311.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oddr.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xor4.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffrq.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mxi2.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa211.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_header.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_memory_dp.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffrq.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or3.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao32.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi21.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nand4.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao211.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa222.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffnq.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi221.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa21.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_shift.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fifo_cdc.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_reg1.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mx2.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai32.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mx3.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_add.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_inv.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao33.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockdiv.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux6.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fall2pulse.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffq.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_buffer.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai22.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi33.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or4.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_iddr.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux4.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_delay.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_abs.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa221.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa92.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffrqn.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor3.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xor3.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi222.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mxi3.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffqn.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_edgealign.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux5.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bin2gray.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dsync.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffq.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa33.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa211.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mxi4.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor2.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xor2.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao31.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_rise2pulse.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_lat1.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi221.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_iddr.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor2.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao33.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nand4.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bin2onehot.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nand3.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa42.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao22.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_add.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pulse2pulse.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mult.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao32.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mx4.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dsync.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa221.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffsq.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ser2par.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffrq.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pwr_buf.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockgate.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai31.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_memory_sp.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_rise2pulse.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_latq.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_lat0.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa62.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi311.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_memory_dp.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux6.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux12.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bitreverse.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao21.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_gray2bin.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux8.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffsq.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nand3.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffrqn.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa33.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and2.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_memory_sp.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xor2.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao31.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa222.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai33.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_datagate.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao222.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa62.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_inv.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_rsync.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockor.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai22.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ser2par.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pll.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_buf.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fifo_cdc.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fall2pulse.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux2.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_reg1.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi32.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux3.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_par2ser.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi31.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_parity.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux4.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux9.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_shift.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi22.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pll.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux8.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai33.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_regfile.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi33.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_standby.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or3.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_edge2pulse.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffrq.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa22.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao311.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_pwr_buf.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_gray2bin.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi311.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao21.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai31.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai221.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa311.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao221.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor3.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockdiv.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_par2ser.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa21.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mx3.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffsqn.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffnq.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao211.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or2.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa32.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_latq.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_isobuflo.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa32.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mxi3.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_header.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_regfile.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_buffer.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_lat1.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi21.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffrqn.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi31.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffq.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_counter.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_7seg_decode.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux7.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_latnq.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_aoi222.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and2.v".
 [INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai222.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor3.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffsqn.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa32.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_parity.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_csa92.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffqn.v".
-[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_dffsqn.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xnor4.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_tristate.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_fifo_sync.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_delay.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mxi2.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffsq.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_stretcher.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai21.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_or4.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_7seg_decode.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_and4.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor4.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_sdffqn.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_bin2gray.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_arbiter.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oai221.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_ao311.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_xor4.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_clockmux2.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_nor2.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_oa31.v".
+[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_mux4.v".
 [INF:CM0029] Using global timescale: "1ns/1ns".
 [INF:CP0300] Compilation...
 [INF:CP0303] ${SURELOG_DIR}/third_party/tests/oh/stdlib/hdl/oh_7seg_decode.v:8:1: Compile module "work@oh_7seg_decode".

In the test folder I only find one usage of $size (in tests/NonSynthError/dut.sv), which does not cover the case in this issue.

@alaindargelas
Copy link
Collaborator

@Rodrigodd , please submit a PR here with the code change.
I'll accept it and merge it.
When it is merged, please submit a PR in Surelog with an update of the UHDM submodule, plus a new test that exhibit the feature in Surelog/tests/

@Rodrigodd
Copy link
Contributor Author

I was not satisfied with the fix I proposed, so I took a better look to see if I could find a more proper fix for it. From the Verilog spec:

$size shall return the number of elements in the dimension, which is equivalent to: $high – $low + 1.

So I tried to look for the code that calculates $high and $low in UHDM. It was a lot more straightforward, so I tried to test the following patch:

diff --git a/templates/ExprEval.cpp b/templates/ExprEval.cpp
index 171dc0e..bdc4c03 100644
--- a/templates/ExprEval.cpp
+++ b/templates/ExprEval.cpp
@@ -4015,8 +4015,8 @@ expr *ExprEval::reduceExpr(const any *result, bool &invalidValue,
             tps = tp;
           }
 
-          if ((name == "$high") || (name == "$low") || (name == "$left") ||
-              (name == "$right")) {
+          if ((name == "$size") || (name == "$high") || (name == "$low") ||
+              (name == "$left") || (name == "$right")) {
             VectorOfrange *ranges = nullptr;
             if (tps) {
               switch (tps->UhdmType()) {
@@ -4075,20 +4075,33 @@ expr *ExprEval::reduceExpr(const any *result, bool &invalidValue,
                 } else {
                   return lr;
                 }
+              } else if (name == "$size") {
+                // $size(a) == $high(a) - $low(a) + 1
+                if (lrv > rrv) {
+                  bits = lrv - rrv + 1;
+                } else {
+                  bits = rrv - lrv + 1;
+                }
+                found = true;
               }
             }
           }
+          if (!found) {
+            if (name == "$right" || name == "$low") {
+              bits = 0;
+            }
 
-          if (tps) {
-            bits += size(tps, invalidValue, inst, pexpr, (name != "$size"));
-            found = true;
-          } else {
-            if (object) {
-              bits +=
-                  size(object, invalidValue, inst, pexpr, (name != "$size"));
+            if (tps) {
+              bits = size(tps, invalidValue, inst, pexpr, (name != "$size"));
               found = true;
             } else {
-              invalidValue = true;
+              if (object) {
+                bits =
+                    size(object, invalidValue, inst, pexpr, (name != "$size"));
+                found = true;
+              } else {
+                invalidValue = true;
+              }
             }
           }
         } else if (argtype == UHDM_OBJECT_TYPE::uhdmoperation) {

But when I tested it, I discovered that Surelog actually doesn't use the code above. Looking a bit more, I found that Surelog also implements it in a very similar way. So I tried the code below:

diff --git a/src/DesignCompile/CompileExpression.cpp b/src/DesignCompile/CompileExpression.cpp
index d04b498e..cfb5db56 100644
--- a/src/DesignCompile/CompileExpression.cpp
+++ b/src/DesignCompile/CompileExpression.cpp
@@ -2146,13 +2146,8 @@ UHDM::any *CompileHelper::compileExpression(
             result =
                 compileBits(component, fC, List_of_arguments, compileDesign,
                             reduce, pexpr, instance, false, muteErrors);
-          } else if (name == "$size") {
-            NodeId List_of_arguments = fC->Sibling(child);
-            result =
-                compileBits(component, fC, List_of_arguments, compileDesign,
-                            reduce, pexpr, instance, true, muteErrors);
           } else if (name == "$high" || name == "$low" || name == "$left" ||
-                     name == "$right") {
+                     name == "$right" || name == "$size") {
             NodeId List_of_arguments = fC->Sibling(child);
             result =
                 compileBound(component, fC, List_of_arguments, compileDesign,
@@ -4666,6 +4661,15 @@ UHDM::any *CompileHelper::compileBound(
         } else {
           return lr;
         }
+      } else if (name == "size") {
+        // size = high - low + 1
+        UHDM::constant *c = s.MakeConstant();
+        int64_t size = (lrv > rrv) ? lrv - rrv + 1 : rrv - lrv + 1;
+        c->VpiValue("UINT:" + std::to_string(size));
+        c->VpiDecompile(std::to_string(size));
+        c->VpiConstType(vpiUIntConst);
+        c->VpiSize(64);
+        return c;
       }
     }
   }

Again, to my surprise, I saw that Surelog doesn't reach the point of successfully evaluating $size, $high, $low, etc. From what I have seen, operand->Typespec() always returns null:

https://github.com/chipsalliance/Surelog/blob/d9e6771272b6b35c1e4c2cadc008cc2ecbe3cd22/src/DesignCompile/CompileExpression.cpp#L4608-L4611

In the compileSize function, there is some code that also appears to get the typespec of an expression, but it is much more complicated. I didn't attempt to port it over.

And looking now, I notice the above is also missing the $ when comparing the name.

Then I tried to just call reduceExpr directly, but I didn't get too far (I also didn't try that much).

In the end, I gave up. I will just submit the ad-hoc solution I presented in the OP.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants